彩灯控制器eda课程设计.docx

上传人:b****6 文档编号:2988072 上传时间:2022-11-16 格式:DOCX 页数:19 大小:1.03MB
下载 相关 举报
彩灯控制器eda课程设计.docx_第1页
第1页 / 共19页
彩灯控制器eda课程设计.docx_第2页
第2页 / 共19页
彩灯控制器eda课程设计.docx_第3页
第3页 / 共19页
彩灯控制器eda课程设计.docx_第4页
第4页 / 共19页
彩灯控制器eda课程设计.docx_第5页
第5页 / 共19页
点击查看更多>>
下载资源
资源描述

彩灯控制器eda课程设计.docx

《彩灯控制器eda课程设计.docx》由会员分享,可在线阅读,更多相关《彩灯控制器eda课程设计.docx(19页珍藏版)》请在冰豆网上搜索。

彩灯控制器eda课程设计.docx

彩灯控制器eda课程设计

1.引言

伴随着计算机、集成电路和电子设计技术的发展,当今社会是数字化的社会,也是数字集成电路广泛应用的社会,数字本身在不断的进行更新换代。

它由早起的电子管、晶体管、小中规模集成电路发展到超大规模集成电路以及许多具有特定功能的专用集成电路。

EDA技术在过去的几十年里取得了巨大的进步。

EDA技术使得设计者的工作仅限于利用软件的方式,即利用硬件描述语言和EDA软件便可完成对系统硬件功能的实现。

如今,EDA软件工具已经成为电子信息类产品的支柱产业。

从高性能的微处理器、数字信号处理器一直到彩电、音响和电子玩具电路等,EDA技术不单是应用于前期的计算机模拟仿真、产品调试,而且也在P哪的制作、电子设备的研制及生产、电路板的焊接、朋比的制作过程等有重要作用。

可以说电子EDA技术已经成为电子工业领域不可缺少的技术支持。

相比传统的电路系统的设计方法,VHDL具有多层次描述系统硬件功能的能力,支持自顶向下(ToptoDown)和基于库(LibraryBased)的设计的特点。

因此设计者可以不必了解硬件结构。

从系统设计入手,在顶层进行系统方框图的划分和结构设计,在方框图一级用VHDL对电路的行为进行描述,并进行仿真和纠错,然后在系统一级进行验证,最后再用逻辑综合优化工具生成具体的门级逻辑电路的网表,下载到具体的CPLD器件中去,从而实现可编程的专用集成电路(ASIC)的设计。

而EDA技术就是以微电子技术为物理层面,现代电子设计为灵魂,计算机软件技术为手段,最终形成集成电子系统或专用集成电路ASIC为目的的一门新兴技术。

VHDL的英文全名是VHSIC(VeryHighSpeedIntegratedCircuit)HardwareDescriptiongLanguage,翻译成中文就是超高速集成电路硬件描述语言,诞生于1982年。

1987年底,VHDL被美国国防部确认为标准硬件描述语言。

自IEEE公布了VHDL的标准版本,IEEE-1076之后,各EDA公司相继推出了自己的VHDL设计环境,或宣布自己的设计工具可以和VHDL接口。

1993年,IEEE对VHDL进行了修订,从更高的抽象层次和系统描述能力上扩展VHDL的内容,公布了新版本的VHDL,即IEEE标准的1076-1993版本。

现在,VHDL和Verilog作为IEEE的工业标准硬件描述语言,又得到众多EDA公司的支持,在电子工程领域,已成为事实上的通用硬件描述语言。

有专家认为,在新的世纪中,VHDL于Verilog语言将承担起大部分的数字系统设计任务。

目前,它在中国的应用多数是用在FPGA/CPLD/EPLD的设计中。

当然在一些实力较为雄厚的单位,它也被用来设计ASIC。

随着EDA技术的高速发展,电子系统的设计技术和工具发生了深刻的变化,大规模可编程逻辑器件CPLD/FPGA的出现,给设计人员带来了诸多方便。

利用它进行产品开发,不仅成本低、周期短、可靠性高,而且具有完全的知识产权。

利用VHDL语言设计彩灯控制器设计,使其实现彩灯控制器变形,发声等功能,突出了其作为硬件描述语言的良好的可读性、可移植性和易读性等优点。

此程序通过下载到特定芯片后,可应用于实际的控制器系统中。

本文基于FPGA开发系统,在QuartusII7.2软件平台上,完成了自动售货机控制器的设计和及仿真,并下载到试验箱进行硬件实现。

首先,本文介绍了QuartusII7.2软件的基本使用方法和VHDL硬件描述语言的特点,采用VHDL硬件描述语言描述自动售货机控制器,完成对电路的功能仿真;在设计过程中,重点探讨了彩灯控制器设计的设计思路和功能模块划分;然后,初步探讨了电路逻辑综合的原理,该软件对彩灯控制器设计电路进行了逻辑综合;最后,使用EDA实验开发系统进行电路的下载和验证,验证结果表明设计的彩灯控制器设计完成了预期的功能。

 

 

2.EDA技术

2.1EDA技术介绍

EDA是电子设计自动化(ElectronicDesignAutomation)缩写,是90年代初从CAD(计算机辅助设计)、CAM(计算机辅助制造)、CAT(计算机辅助测试)和CAE(计算机辅助工程)的概念发展而来的。

EDA技术是以计算机为工具,根据硬件描述语言HDL(HardwareDescriptionlanguage)完成的设计文件,自动地完成逻辑编译、化简、分割、综合及优化、布局布线、仿真以及对于特定目标芯片的适配编译和编程下载等工作。

EDA技术(即ElectronicDesignAutomation技术)就是依赖强大的计算机,在EDA工具软件平台上,对以硬件描述语言HDL(HardwareDdscriptionLangurage)为系统逻辑描述手段完成的设计文件,自动地完成逻辑编译、化简、分割、综合、布局布线以及逻辑优化和仿真测试,直至实现既定的电子线路系统功能。

它在硬件实现方面融合了大规模集成电路制造技术、IC版图设计、ASIC测试和封装、FPGA(GieldPeogrammableGateArray)/CPLD(ComplexProgrammableLogicDevice)编程下载和自动测试等技术;在计算机辅助工程方面融合了计算机辅助设计(CAD),计算机辅助制造(CAM),计算机辅助测试(CAT),计算机辅助工程(CAE)技术以及多种计算机语言的设计概念;而在现代电子学方面则容纳了更多的内容,如电子线路设计理论、数字信号处理技术、数字系统建模和优化技术及长线技术理论等。

2.2硬件描述语言

硬件描述语言HDL是EDA技术的重要组成部分,常见的HDL主要有VHDL、VerilogHDL、ABEL、AHDL、SystemVerilog和SystemC。

其中VHDL、Verilog和现在的EDA设计中使用最多,并且我们学习的是VHDL的编程方法和实用技术。

VHDL的英文全名是VHSIC(VeryHighSpeedIntegratedCircuit)HardwareDescriptionLanguage,由IEEE(TheInstituteofElectricalandElectronicsEngineets)进一步发展,并在1987年作为“IEEE标准1076”公布。

从此VHDL成为硬件描述语言的业界标准之一。

VHDL技术及传统的数字电子系统或IC设计相比之下有很大的优势,主要表现在:

第一,VHDL语言具有很强的电路描述和建模能力,能从多个层次对数字系统进行建模和描述,从而大大简化了硬件设计任务,提高了设计效率和可靠性。

第二,VHDL具有也具体硬件电路武官和及设计平台无关的特性,并且具有良好的电路行为描述和系统描述的能力,并在语言易读性和层次化,结构化设计方面,表现了强大的生命力和应用潜力。

当今社会是数字化的社会,也是数字集成电路广泛应用的社会,数字本身在不断的进行更新换VHDL的英文全名是VHSIC(VeryHighSpeedIntegratedCircuit)HardwareDescriptiongLanguage,翻译成中文就是超高速集成电路硬件描述语言,诞生于1982年。

1987年底,VHDL被美国国防部确认为标准硬件描述语言。

自IEEE公布了VHDL的标准版本,IEEE-1076之后,各EDA公司相继推出了自己的VHDL设计环境,或宣布自己的设计工具可以和VHDL接口。

1993年,IEEE对VHDL进行了修订,从更高的抽象层次和系统描述能力上扩展VHDL的内容,公布了新版本的VHDL,即IEEE标准的1076-1993版本。

现在,VHDL和Verilog作为IEEE的工业标准硬件描述语言,又得到众多EDA公司的支持,在电子工程领域,已成为事实上的通用硬件描述语言。

有专家认为,在新的世纪中,VHDL于Verilog语言将承担起大部分的数字系统设计任务。

目前,它在中国的应用多数是用在FPGA/CPLD/EPLD的设计中。

当然在一些实力较为雄厚的单位,它也被用来设计ASIC。

传统的硬件电路设计方法是采用自下而上的设计方法,即根据系统对硬件的要求,详细编制技术规格书,并画出系统控制流图;然后根据技术规格书和系统控制流图,对系统的功能进行细化,合理地划分功能模块,并画出系统的功能框图;接着就进行各功能模块的细化和电路设计;各功能模块电路设计、调试完成后,将各功能模块的硬件电路连接起来再进行系统的调试,最后完成整个系统的硬件设计。

采用传统方法设计数字系统,特别是当电路系统非常庞大时,设计者必须具备较好的设计经验,而且繁杂多样的原理图的阅读和修改也给设计者带来诸多的不便。

为了提高开发的效率,增加已有开发成果的可继承性以及缩短开发周期,各ASIC研制和生产厂家相继开发了具有自己特色的电路硬件描述语言(HardwareDescriptionLanguage,简称HDL)。

但这些硬件描述语言差异很大,各自只能在自己的特定设计环境中使用,这给设计者之间的相互交流带来了极大的困难。

因此,开发一种强大的、标准化的硬件描述语言作为可相互交流的设计环境已势在必行。

于是,美国于1981年提出了一种新的、标准化的HDL,称之为VHSIC(VeryHighSpeedIntegratedCircuit)HardwareDescriptionLanguage,简称VHDL。

这是一种用形式化方法来描述数字电路和设计数字逻辑系统的语言。

设计者可以利用这种语言来描述自己的设计思想,然后利用电子设计自动化工具进行仿真,再自动综合到门电路,最后用PLD实现其功能。

VHDL是一种全方位的硬件描述语言,包括系统行为级。

寄存器传输级和逻辑门多个设计层次,支持结构、数据流和行为三种描述形式的混合描述,因此VHDL几乎覆盖了以往各种硬件语言的功能,整个自顶向下或由下向上的电路设计过程都可以用VHDL来完成。

VHDL还具有以下优点:

1.VHDL的宽范围描述能力使它成为高层进设计的核心,将设计人员的工作重心提高到了系统功能的实现及调试,而花较少的精力于物理实现。

2.VHDL可以用简洁明确的代码描述来进行复杂控制逻辑设计,灵活且方便,而且也便于设计结果的交流、保存和重用。

3.VHDL的设计不依赖于特定的器件,方便了工艺的转换。

4.VHDL是一个标准语言,为众多的EDA厂商支持,因此移植性好。

关于VHDL语言,最后需要说明的是:

及常规的顺序执行的计算机程序不同,VHDL从根本上讲是并发执行的。

因此,,我们通常称之为代码,而不是程序。

在VHDL中,只有在进程(PROCESS)、函数(FUNCTION)和过程(PROCEDURE)内部的语句才是顺序执行的。

VHDL语言具有良好的可读性,即容易被计算机接受,也容易被读者理解。

使用期长,不会因工艺变化而使描述过时。

因为VHDL的硬件描述及工艺无关,当工艺改变时,只需修改相应程序中的属性参数即可。

 

3QuartusII介绍

3.1QuartusII软件介绍

QuartusII是Altera公司单芯片可编程系统(SOPC)设计的综合性环境,也是适合SOPC的最全面的设计环境。

拥有现场可编程门阵列(FPGA)和复杂可编程逻辑器件(CPLD)设计的所有解决方案。

Altera的QuartusII可编程逻辑软件属于第四代PLD开发平台,该平台支持一个工作组环境下的设计要求,其中包括支持原理图、VHDL、VerilogHDL以及AHDL(AlteraHardwareDescriptionLanguage)等多种设计输入形式,内嵌自有的综合器以及仿真器,可以完成从设计输入到硬件配置的完整PLD设计流程。

QuartusII也支持第三方的仿真工具,对第三方EDA工具的良好支持也使用户可以在设计流程的各个阶段使用熟悉的第三方EDA工具。

QuartusII还支持层次化设计,可以在一个新的编辑环境

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 幼儿教育 > 幼儿读物

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1