eda实训心得体会工作范文.docx

上传人:b****8 文档编号:29731040 上传时间:2023-07-26 格式:DOCX 页数:21 大小:34.67KB
下载 相关 举报
eda实训心得体会工作范文.docx_第1页
第1页 / 共21页
eda实训心得体会工作范文.docx_第2页
第2页 / 共21页
eda实训心得体会工作范文.docx_第3页
第3页 / 共21页
eda实训心得体会工作范文.docx_第4页
第4页 / 共21页
eda实训心得体会工作范文.docx_第5页
第5页 / 共21页
点击查看更多>>
下载资源
资源描述

eda实训心得体会工作范文.docx

《eda实训心得体会工作范文.docx》由会员分享,可在线阅读,更多相关《eda实训心得体会工作范文.docx(21页珍藏版)》请在冰豆网上搜索。

eda实训心得体会工作范文.docx

eda实训心得体会工作范文

eda实训心得体会

  篇一:

EDA实训心得

  实训心得

  短暂的一周实训已经过去了,对于我来说这一周的实训赋予了我太多实用的东西了,不仅让我更深层次的对课本的理论知识深入了理解,而且还让我对分析事物的逻辑思维能力得到了锻炼,提高了实际动手能力,下面谈一下就这一周实训中我自己的一些心得体会。

一周的实训已经过去了,我们在老师提供的实践平台上通过自己的实践学到了很多课本上学不到的宝贵东西,熟悉了对QuartusⅡ软件的一般项目的操作和学到了处理简单问题的基本方法,更重要的是掌握了VHDL语言的基本设计思路和方法,我想这些会对我今后的学习起到很大的助推作用。

此外,还要在今后的课本理论知识学习过程中要一步一个脚印的扎实学习,灵活的掌握和运用专业理论知识这样才能在以后出去工作的实践过程中有所成果。

  最后还要感谢学校为我们提供这样专业的实践平台还有瓮老师在一周实训以来的不断指导和同学的热情帮助。

总的来说,这次实训我收获很大。

  同时,感谢大专两年来所有的老师,是你们为我解惑受业,不仅教授我专业知识,更教会我做人的道理。

  这次EDA实训让我感觉收获颇多,在这一周的实训中我们不仅巩固了以前学过的知识,而且还学到了怎样运用EDA设计三种波形的整个过程和思路,更加强了我们动手能力,同时也提高了我们的思考能力的锻炼,我们在写程序的同时还要学会要改程序,根据错误的地方去修改程序。

  本文基于VerilogHDL的乒乓球游戏机设计,利用VerilogHDL语言编写程序实现其波形数据功能在分析了CPLD技术的基础上,利用CPLD开发工具对电路进行了设计和仿真,从分离器件到系统的分布,每一步都经过严格的波形仿真,以确保功能正常。

  从整体上看来,实训课题的内容实现的功能都能实现,但也存在着不足和需要进一步改进的地方。

  为我今后的学习和工作奠下了坚实的基础。

通过此次的实训课题,掌握了制作乒乓球游戏机技术的原理及设计要领,学习并掌握了可编程逻辑电路的设计,掌握了软件、CPLD元件的应用,受益匪浅,非常感谢瓮老师这一学期来的指导与教诲,感谢老师在学习上给予的指导,老师平常的工作也很忙,但是在我们学习的过程中,重来没有耽搁过,我们遇到问题问他,他重来都是很有耐心,不管问的学生有多少,他都细心的为每个学生讲解,学生们遇到的不能解决的,他都配合同学极力解决。

最后祝愿瓮老师身体健康,全家幸福。

  通过这次课程设计,我进一步熟悉了VerilogHDL语言的结构,语言规则和语言类型。

对编程软件的界面及操作有了更好的熟悉。

在编程过程中,我们虽然碰到了很多困难和问题,到最后还是靠自己的努力与坚持独立的完成了任务。

当遇到了自己无法解决的困难与问题的时候,要有耐心,要学会一步步的去找问题的根源,才能解决问题,还请教老师给予指导和帮助。

这次实训给我最深的印象就是扩大自己的知识面,知道要培养哪些技能对我们的专业很重要。

通过这次课程设计,培养了我们共同合作的能力。

但是此次设计中参考了其他程序段实际思想,显示出我们在程序设计方面还有不足之处。

  在此次实训的过程中,我了解到了要加强培养动手能力,要明白理论与实践结合的重要性,只有理论知识也是不够的,只有把理论知识和实践相结合,才能真正提高我们的实际动手能力与独立思考的能力。

感谢学院给我们提供这次实训的机会,感谢瓮老师对我们的指导,他是为了教会我们如何运用所学的知识去解决实际的问题,此外,还得出一个结论:

知识必须通过应用才能实现其价值!

有些东西以为学会了,但真正到用的时候才发现是两回事,所以我认为只有到真正会用的时候才是真的学会了。

  本次设计过程中得到我们老师的悉心指导。

瓮老师多次询问设计进程,并为我们指点迷津,帮助我们理顺设计思路,精心点拨。

瓮老师一丝不苟的作风,严谨求实的态度,踏踏实实的精神,不仅授我以文,并将积极影响我今后的学习和工作。

在此诚挚地向瓮老师致谢。

  篇二:

EDA课程设计心得体会

  EDA课程设计心得体会

  这次EDA课程设计历时两个星期,在整整两个星期的日子里,可以说是苦多于甜,但是可以学的到很多很多的东西,同时不仅可以巩固以前所学过的知识,而且学到了很多在书本上所没有学到过的知识。

通过这次设计,进一步加深了对EDA的了解,让我对它有了更加浓厚的兴趣。

特别是当每一个子模块编写调试成功时,心里特别的开心。

但是在编写顶层文件的程序时,遇到了不少问题,特别是各元件之间的连接,以及信号的定义,总是有错误,在细心的检查下,终于找出了错误和警告,排除困难后,程序编译就通过了,心里终于舒了一口气。

在波形仿真时,也遇到了一点困难,想要的结果不能在波形上得到正确的显示:

在设定输入的时钟信号后,数字秒表开始计数,但是始终看不到秒和小时的循环计数。

后来,在数十次的调试之后,才发现是因为输入的时钟信号对于器件的延迟时间来说太短了。

经过屡次调试,终于找到了比较合适的输入数值:

时钟周期设置在15秒左右比较合适。

另外,Endtime的值需要设置的长一点:

500us左右,这样就可以观察到完整的仿真结果。

  其次,在连接各个模块的时候一定要注意各个输入、输出引脚的线宽,因为每个线宽是不一样的,只要让各个线宽互相匹配,才能得出正确的结果,否则,出现任何一点小的误差就会导致整个文件系统的编译出现错误提示,在器件的选择上也有一定的技巧,只有选择了合适当前电路所适合的器件,编译才能得到完满成功。

  通过这次课程设计使我懂得了理论与实际相结合是很重要的,只有理论知识是远远不够的,只有把所学的理论知识与实践相结合起来,从理论中得出结论,才能真正为社会服务,从而提高自己的实际动手能力和独立思考的能力。

在设计的过程中遇到问题,可以说得是困难重重,这毕竟第一次做的,难免会遇到过各种各样的问题,同时在设计的过程中发现了自己的不足之处,对以前所学过的知识理解得不够深刻,掌握得不够牢固。

  总的来说,这次设计的数字秒表还是比较成功的,在设计中遇到了很多问题,最后在老师的辛勤的指导下,终于游逆而解,有点小小的成就感,终于觉得平时所学的知识有了实用的价值,达到了理论与实际相结合的目的,不仅学到了不少知识,而且锻炼了自己的能力,使自己对以后的路有了更加清楚的认识,同时,对未来有了更多的信心。

最后,对给过我帮助的所有同学和各位指导老师再次表示忠心的感谢!

  PLC实训心得

  和学别的学科一样,在学完PLC理论课程后我们做了课程设计,此次设计以分组的方式进行,每组有一个题目。

我们做的是一个由三个部分组成的浇灌系统。

由于平时大家都是学理论,没有过实际开发设计的经验,拿到的时候都不知道怎么做。

但通过各方面的查资料并学习。

我们基本学会了PLC设计的步聚和基本方法。

分组工作的方式给了我与同学合作的机会,提高了与人合作的意识与能力。

通过这次设计实践。

我学会了PLC的基本编程方法,对PLC的工作原理和使用方法也有了更深刻的理解。

在对理论的运用中,提高了我们的工程素质,在没有做实践设计以前,我们对知道的撑握都是思想上的,对一些细节不加重视,当我们把自己想出来的程序与到PLC中的时候,问题出现了,不是不能运行,就是运行

  的结果和要求的结果不相符合。

能过解决一个个在调试中出现的问题,我们对PLC的理解得到加强,看到了实践与理论的差距。

  通过合作,我们的合作意识得到加强。

合作能力得到提高。

上大学后,很多同学都没有过深入的交流,在设计的过程中,我们用了分工与合作的方式,每个人互责一定的部分,同时在一定的阶段共同讨论,以解决分工中个人不能解决的问题,在交流中大家积极发言,和提出意见,同时我们还向别的同学请教。

在此过程中,每个人都想自己的方案得到实现,积极向同学说明自己的想法。

能过比较选出最好的方案。

在这过程也提高了我们的表过能力。

  在设计的过程中我们还得到了老师的帮助与意见。

在学习的过程中,不是每一个问题都能自己解决,向老师请教或向同学讨论是一个很好的方法,不是有句话叫做思而不学者殆。

做事要学思结合。

  通过本次设计,让我很好的锻炼了理论联系实际,与具体项目、课题相结合开发、设计产品的能力。

既让我们懂得了怎样把理论应用于实际,又让我们懂得了在实践中遇到的问题怎样用理论去解决。

  在本次设计中,我们还需要大量的以前没有学到过的知识,于是图书馆和INTERNET成了我们很好的助手。

在查阅资料的过程中,我们要判断优劣、取舍相关知识,不知不觉中我们查阅资料的能力也得到了很好的锻炼。

我们学习的知识是有限的,在以后的工作中我们肯定会遇到许多未知的领域,这方面的能力便会使我们受益非浅。

  在设计过程中,总是遇到这样或那样的问题。

有时发现一个问题的时候,需要做大量的工作,花大量的时间才能解决。

自然而然,我的耐心便在其中建立起来了。

为以后的工作积累了经验,增强了信心

  篇三:

EDA心得体会

  EDA学习心得体会

  大三时候开始了专业课的学习,其中EDA就是要学的一门专业课,课程刚开始的时候,对EDA技术很陌生,也感到很茫然,也非常没有信心,当接触到可编程器件的时候,看到大家同样感到很迷惘。

首先,通过对这门课程相关理论的学习,我掌握了EDA的一些基本的的知识,现代电子产品的性能越来越高,复杂度越来越大,更新步伐也越来越快。

实现这种进步的主要原因就是微电子技术和电子技术的发展。

前者以微细加工技术为代表,目前已进入超深亚微米阶段,可以在几平方厘米的芯片上集成几千万个晶体管;后者的核心就是电子设计自动化EDA(ElectronicDesignAutomatic)技术,由于本门课程是一门硬件学习课程,所以实验必不可少。

通过课程最后实验,我体会一些VHDL语言相对于其他编程语言的特点。

  在接触VHDL语言之前,我已经学习了C语言,汇编语言,而相对于这些语言的学习,VHDL具有明显的特点。

这不仅仅是由于VHDL作为一种硬件描述语言的学习需要了解较多的数字逻辑方面的硬件电路知识,包括目标芯片基本结构方面的知识更重要的是由于VHDL描述的对象始终是客观的电路系统。

由于电路系统内部的子系统乃至部分元器件的工作状态和工作方式可以是相互独立、互不相关的,也可以是互为因果的。

这表明,在任一时刻,电路系统可以有许多相关和不相关的事件同时并行发生。

因此,任何复杂的程序在一个单CPU的计算机中的运行,永远是单向和一维的。

因而程序设计者也几乎只需以一维的思维模式就可以编程和工作了。

  在学习的过程中,我深深体会到,学习不单单要将理论知识学扎实了,更重要的是实际动手操作能力,学完了课本知识,我并没有觉得自己有多大的提高,感觉学到的很没用,我们现在学到的还很少,只是编写一些简单的程序。

相反的,每次做完实验之后,都会感觉自己收获不少,每次都会有问题,因此,我认为在老师今后的教学当中,应当更加注重动手实验,把理论与实践很好的结合起来,才能使同学融会贯通。

现在感觉到对这门课还只有很少的认识,所以希望很认真的续下去

  篇四:

EDA实训总结报告2

  淮安信息职业技术学院

  EDA实训总结报告

  系别专业嵌入式应用班级姓名

  学号

  20XX年9月16日

  EDA实训总结报告

  计通系12级嵌入式应用,姓名:

学号:

  摘要:

经过一周的EDA实训,我也基本掌握了这个软件的使用方法,也体会到了这款软件的实用性。

我也通过练习,熟练地掌握了一些画图技巧,下面我模仿练习的一款时钟电路,通过绘制及制作时钟电路,通过绘制时钟电路的原理图,制作PCB板,布线等,我也发现了自己的一些不足,有了更深的体会。

一、电路原理图及元器件库设计1.原理图设计

  电路原理图的设计主要是protel99se的原理图设计系统(AdvancedSchematic)来绘制一张电路原理图。

在这一过程中,要充分利用protel99se所提供的各种原理图绘图工具、各种xx功能,来实现我们的目的,即得到一张正确、精美的电路原理图。

  绘制简单电路原理图过程:

首先,构思好零件图,设计好图纸大小,设置合适的图纸大小;然后,设置protel99se/Schematic设计环境;再者,放置零件,并对放置零件的序号、零件封装进行定义和设定等工作;然后,将图纸上的元件用具有电气意义的导线、符号连接起来,构成一个完整的原理图;然后,根据需要调整电路;再者,创建络表;最后,加载络表。

  例如如下时钟电路原理图:

  当然,这还不算完整,然后对已经完成的电路原理图,进行电气规则测试,找出错误原因,并改正。

生成络表和元器件材料清单。

  电气规则测试:

  分析检查报告内容,修改错误。

  时钟电路络表

  2.元器件库设计

  在绘制电路原理图时,难免会遇到元器件库中没有的元器件,这时,我们需要用绘图工具,学会绘制元器件。

我在绘制时钟电路原理图时,也曾遇到过这种情况,所以这就需要我们自己绘制自己所需的元器件图。

  如:

  我们可以根据所需建立一个自己的元器件库,当我们需要时,就可添加进去,直接使用即可。

  二、PCB板图及封装库设计

  用印制电路板xx器,设置工作层面和电路板画图环境,用Protel99SE设计印刷电路板过程如下:

1.启动印刷电路板设计服务器;2.规划电路板;3.设置参数;4装入元件封装库;5..装入络表;6.元器件布局;7.自动布线。

  电路板尺寸规划:

  加载络表:

  篇五:

EDA实训心得

  实训心得

  本学期末我们进行了EDA实训,我们组做的是四路智能抢答器,不过本次实训与以往最大的不同是在熟练并掌握Verilog硬件描述语言的基础上,运用Quartus软件,对其进行波形以及功能的仿真。

我们组抢答器的设计要求是:

可容纳四组参赛者,每组设置一个抢答按钮供抢答者使用,电路具有第一抢答信号的鉴别和锁存功能。

  系统具有计分、倒计时和倒计时锁存等电路,输入信号有:

各组的抢答按钮A、B、C、D,系统清零信号CLR,系统时钟信号CLK,计分复位端RST,加分按钮端ADD,计时预置控制端LDN,计时使能端EN,计时预置数据调整按钮可以用如TA、TB表示;系统的输出信号有:

四个组抢答成功与否的指示灯控制信号输出口可用如LEDA、LEDB、LEDC、LEDD表示,四个组抢答时的计时数码显示控制信号若干,抢答成功组别显示的控制信号若干,各组计分动态显示的控制信号若干。

整个系统至少有三个主要模块:

抢答鉴别模块、抢答计时模块、抢答计分模块。

  实训的第一天我们组三个人就开始对抢答器的各部分源程序进行调试,由于刚开始对于quartus2软件用的不是很熟练,所以在第一天几乎上没有啥大的进展,一直都在改程序中的错误。

在不停的重复的编译、改错。

拿着EDA修改稿、资料书检查出错的地方,一边又一遍的校对分析其中的错误。

  在实训中我们遇到了很多的问题。

为了解决这些问题我和他们

  两个都在的想办法通过各种渠道寻找解决问题的方法。

上查资料、问同学、图书馆查资料、问老师、自己想办法,其实最有效的方法还是自己去想那样学到的东西才会更加的深刻记得时间也是最长的,他人的帮助当然是很好的,但只是暂时的要想真正的学到东西还是要靠自己去想办法。

不能一有问题就希望要他人帮忙,一定自己先好好想想实在解决不了的再去问老师找同学。

  由于在一开始的时候对quartus2软件的不熟悉耽误了很多的时间,在接下来的几天里遇到了不少的问题。

刚开始的时候是源程序中的错误一直在那改,好不容易几个模块中的错误都一个个排除了,但当把他们放到一起时问题就又出现了。

于是又开始了检查修改,可是弄了好长时间也没有弄明白,最后找了一个在实验室的同学说是顶层文件有问题。

于是晚上又找了些关于顶层文件资料还有课本上的例子。

最后对步骤已经有了很熟练的掌握,很快就完成了程序编译、仿真、下载到最后的调试。

  “纸上谈来终觉浅,绝知此事要躬行。

”在这短暂的两周实训中深深的感觉到了自己要学的东西实在是太多了,自己知道的是多么的有限,由于自身专业知识的欠缺导致了这次实训不是进行的很顺利,通过这次实训暴露了我们自身的诸多的不足之处,我们会引以为鉴,在以后的生活中更应该努力的学习。

  虽然实训仅仅进行了两个星期就匆匆的结束了,但在这两个星期中收获还是很多的。

实训的目的是要把学过的东西拿出来用这一个星期的实训中不仅用了而且对于quartus2软件的使用也更加的得

  心应手,这次实训提高了我们的动手能力、理论联系实际的能力、发现问题分析问题解决问题的能力。

实训只要你认真做了都是对自己能力一次很大的提高。

  本次设计过程中得到我们老师的悉心指导。

瓮老师多次询问设计进程,并为我们指点迷津,帮助我们理顺设计思路,精心点拨,时刻在帮助着我们去提高自己。

瓮老师一丝不苟的作风,严谨求实的态度,踏踏实实的精神,不仅是我学习的楷模,并将积极影响我今后的学习和工作。

在此诚挚地向瓮老师致谢。

  篇六:

EDA实训报告总结

  实训心得

  短暂的一周实训已经过去了,对于我来说这一周的实训赋予了我太多实用的东西了,不

  仅让我更深层次的对课本的理论知识深入了理解,而且还让我对分析事物的逻辑思维能力得

  到了锻炼,提高了实际动手能力,下面谈一下就这一周实训中我自己的一些心得体会。

一周

  的实训已经过去了,我们在老师提供的实践平台上通过自己的实践学到了很多课本上学不到

  的宝贵东西,熟悉了对quartusⅱ软件的一般项目的操作和学到了处理简单问题的基本方法。

  更重要的是掌握了vhdl语言的基本设计思路和方法,我想这些会对我今后的学习起到很大的

  助推作用。

此外,还要在今后的课本理论知识学习过程中要一步一个脚印的扎实学习,灵活

  的掌握和运用专业理论知识这样才能在以后出去工作的实践过程中有所成果。

最后还要感谢学校为我们提供这样专业的实践平台还有瓮老师在一周实训以来的不断指

  导和同学的热情帮助。

总的来说,这次实训我收获很大。

同时,感谢大专两年来所有的老师,是你们为我解惑受业,不仅教授我专业知识,更教

  会我做人的道理。

这次eda实训让我感觉收获颇多,在这一周的实训中我们不仅巩固了以前学过的知识。

  而且还学到了怎样运用eda设计三种波形的整个过程和思路,更加强了我们动手能力,同

  时也提高了我们的思考能力的锻炼,我们在写程序的同时还要学会要改程序,根据错误的地

  方去修改程序。

  本文基于veriloghdl的乒乓球游戏机设计,利用veriloghdl语言编写程序实现其波

  形数据功能在分析了cpld技术的基础上,利用cpld开发工具对电路进行了设计和仿真,从

  分离器件到系统的分布,每一步都经过严格的波形仿真,以确保功能正常。

从整体上看来,实训课题的内容实现的功能都能实现,但也存在着不足和需要进一步改

  进的地方。

  为我今后的学习和工作奠下了坚实的基础。

通过此次的实训课题,掌握了制作乒乓球游

  戏机技术的原理及设计要领,学习并掌握了可编程逻辑电路的设计,掌握了软件、cpld元件

  的应用,受益匪浅,非常感谢瓮老师这一学期来的指导与教诲,感谢老师在学习上给予的指

  导,老师平常的工作也很忙,但是在我们学习的过程中,重来没有耽搁过,我们遇到问题问

  他,他重来都是很有耐心,不管问的学生有多少,他都细心的为每个学生讲解,学生们遇到

  的不能解决的,他都配合同学极力解决。

最后祝愿瓮老师身体健康,全家幸福。

通过这次课程设计,我进一步熟悉了veriloghdl语言的结构,语言规则和语言类型。

  对编程软件的界面及操作有了更好的熟悉。

在编程过程中,我们虽然碰到了很多困难和问题,

  到最后还是靠自己的努力与坚持独立的完成了任务。

当遇到了自己无法解决的困难与问题的

  时候,要有耐心,要学会一步步的去找问题的根源,才能解决问题,还请教老师给予指导和

  帮助。

这次实训给我最深的印象就是扩大自己的知识面,知道要培养哪些技能对我们的专业

  很重要。

通过这次课程设计,培养了我们共同合作的能力。

但是此次设计中参考了其他程序

  段实际思想,显示出我们在程序设计方面还有不足之处。

在此次实训的过程中,我了解到了要加强培养动手能力,要明白理论与实践结合的重要

  性,只有理论知识也是不够的,只有把理论知识和实践相结合,才能真正提高我们的实际动

  手能力与独立思考的能力。

感谢学院给我们提供这次实训的机会,感谢瓮老师对我们的指导。

  他是为了教会我们如何运用所学的知识去解决实际的问题,此外,还得出一个结论:

知识必

  须通过应用才能实现其价值!

有些东西以为学会了,但真正到用的时候才发现是两回事,所

  以我认为只有到真正会用的时候才是真的学会了。

本次设计过程中得到我们老师的悉心指导。

瓮老师多次询问设计进程,并为我们指点迷

  津,帮助我们理顺设计思路,精心点拨。

瓮老师一丝不苟的作风,严谨求实的态度,踏踏实

  实的精神,不仅授我以文,并将积极影响我今后的学习和工作。

在此诚挚地向瓮老师致谢。

  篇二:

南京理工大学eda设计实验报告摘要

  通过实验学习和训练,掌握基于计算机和信息技术的电路系统设计和仿真方法。

要求:

  1.熟悉multisim软件的使用,包括电路图xx、虚拟仪器仪表的使用和掌握常见电路分析

  方法。

2.能够运用multisim软件对模拟电路进行设计和性能分析,掌握eda设计的基本方

  法和步骤。

multisim常用分析方法:

直流工作点分析、直流扫描分析、交流分析。

掌握设计

  电路参数的方法。

复习巩固单级放大电路的工作原理,掌握静态工作点的选择对电路的影响。

  了解负反馈对两级放大电路的影响,掌握阶梯波的产生原理及产生过程。

关键字:

电路仿真multisim负反馈阶梯波目次

  实验一1

  实验二11实验

  三17实验一单级放大电路的设计与仿真

  一、实验目的

  1.设计一个分压偏置的单管电压放大电路,要求信号源频率5khz(峰值10mv),负载电阻ω,电压增益大于50。

  2.调节电路静态工作点(调节电位计),观察电路出现饱和失真和截止失真的输出信号波形,并测试对应的静态工作点值。

  3.调节电路静态工作点(调节电位计),使电路输出信号不失真,并且幅度尽可能大。

在此状态下测试:

①电路静态工作点值;②三极管的输入、输出特性曲线和、rbe、rce值;③电路的输入电阻、输出电

  阻和电压增益;④电路的频率响应曲线和fl、fh值。

  二、实验要求

  1.给出单级放大电路原理图。

  2.给出电路饱和失真、截止失真和不失真且信号幅度尽可能大时的输出信号波形图,并给出三种状态下电路静态工作点值。

  3.给出测试三极管输入、输出特性曲线和、rbe、rce值的实验图,并给出测试结果。

  4.给出正常放大时测量输入电阻、输出电阻和电压增益的实验图,给出测试结果并和理论计算值进行比较。

  5.给出电路的幅频和相频特性曲线,并给出电路的fl、fh值。

6.分析实验结果。

  三、实验步骤

  实验原理图:

饱和失真时波形:

此时静态工作点为:

所以,i(bq)=(cq)=(beq)=

  u(ceq)=截止失真时波形:

此时静态工作点为:

所以,i(bq)=i(cq)=u(beq)=

  u(ceq)=最大不失真时波形:

篇三:

eda实验总结报告

  数字eda实验实验报告学院:

计算机科学与工程学院专业:

通信工程学

  号:

0941903207姓名:

薛蕾指导老

  师:

钱强实验一四选一数据选择器的设计

  一、实验目的

  1、熟悉quartusii软件的使用。

2、了解数据选择器的工作原理。

3、熟悉eda开发

  的基本流程。

  二、实验原理及内

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 经管营销 > 经济市场

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1