直流电机调速设计.docx

上传人:b****8 文档编号:29618992 上传时间:2023-07-25 格式:DOCX 页数:15 大小:511.55KB
下载 相关 举报
直流电机调速设计.docx_第1页
第1页 / 共15页
直流电机调速设计.docx_第2页
第2页 / 共15页
直流电机调速设计.docx_第3页
第3页 / 共15页
直流电机调速设计.docx_第4页
第4页 / 共15页
直流电机调速设计.docx_第5页
第5页 / 共15页
点击查看更多>>
下载资源
资源描述

直流电机调速设计.docx

《直流电机调速设计.docx》由会员分享,可在线阅读,更多相关《直流电机调速设计.docx(15页珍藏版)》请在冰豆网上搜索。

直流电机调速设计.docx

直流电机调速设计

1研究背景

直流电机的定义:

将直流电能转换成机械能(直流电动机)或将机械能转换成直流电能(直流发电机)的旋转电机。

近年来,随着科技的进步,直流电机得到了越来越广泛的应用,直流具有优良的调速特性,调速平滑,方便,调速X围广,过载能力强,能承受频繁的冲击负载,可实现频繁的无极快速起动、制动和反转,需要满足生产过程自动化系统各种不同的特殊要求,从而对直流电机提出了较高的要求,改变电枢回路电阻调速、改变电压调速等技术已远远不能满足现代科技的要求。

随着我国经济和文化事业的发展,在很多场合,都要求有直流电机调速系统来进行调速,诸如汽车行业中的各种风扇、刮水器、喷水泵、熄火器、反视镜、宾馆中的自动门、自动门锁、自动窗帘、自动给水系统、柔巾机、导弹、火炮、人造卫星、宇宙飞船、舰艇、飞机、坦克、火箭、雷达、战车等场合。

EDA是电子设计自动化(ElectronicDesignAutomation)的缩写。

由于它是一门刚刚发展起来的新技术,涉及面广,内容丰富,理解各异,所以目前尚无一个确切的定义,但从EDA技术的几个主要方面的内容来看,可以理解为:

EDA技术是以大规模可编程逻辑器件为设计载体,以硬件描述语言为系统逻辑描述的主要表达方式,以计算机、大规模可编程逻辑器件的开发软件及实验开发系统为设计工具,通过有关的开发软件,自动完成用软件的方式设计电子系统到硬件系统的一门新技术。

EDA是电子技术设计自动化,也就是能够帮助人们设计电子电路或系统的软件工具。

该工具可以在电子产品的各个设计阶段发挥作用,使设计更复杂的电路和系统成为可能。

在原理图设计阶段,可以使用EDA中的仿真工具论证设计的正确性;在芯片设计阶段,可以使用EDA中的芯片设计工具设计制作芯片的版图:

在电路板设计阶段,可以使用EDA中电路板设计工具设计多层电路板,特别是支持硬件描述语言的EDA工具的出现,使复杂数字系统设计自动化成为可能,只要用硬件描述语言将数字系统的行为描述正确,就可以进行该数字系统的芯片设计与制造。

 

2开发环境

2.1VHDL语言

2.1.1VHDL简介

VHDL语言是一种用于电路设计的高级语言。

它在80年代的后期出现。

最初是由美国国防部开发出来供美军用来提高设计的可靠性和缩减开发周期的一种使用X围较小的设计语言。

VHDL翻译成中文就是超高速集成电路硬件描述语言,主要是应用在数字电路的设计中。

目前,它在中国的应用多数是用在FPGA/CPLD/EPLD的设计中。

当然在一些实力较为雄厚的单位,它也被用来设计ASIC。

VHDL主要用于描述数字系统的结构,行为,功能和接口。

除了含有许多具有硬件特征的语句外,VHDL的语言形式、描述风格以及语法是十分类似于一般的计算机高级语言。

VHDL的程序结构特点是将一项工程设计,或称设计实体(可以是一个元件,一个电路模块或一个系统)分成外部(或称可视部分,及端口)和内部(或称不可视部分),既涉及实体的内部功能和算法完成部分。

在对一个设计实体定义了外部界面后,一旦其内部开发完成后,其他的设计就可以直接调用这个实体。

这种将设计实体分成内外部分的概念是VHDL系统设计的基本点。

2.1.2VHDL的特点

(1)系统可以从不同的角度进行描述:

行为级描述系统执行的操作和处理,结构级描述的是系统的构成,功能特性描述系统与外界进行连接与交互方式,物理特性描述系统的处理速度。

(2)系统可以按照不同的抽象级别进行描述:

开关级是描述晶体管的开关行为,寄存器传输级是描述组合电路和时序电路的逻辑结构,指令级体系结构级就是描述微处理器的

功能行为。

硬件描述语言能准确地对系统的组成模块和接口进行定义和描述;在设计的物理实现之前验证系统/子系统/模块的功能和性能;由此可以自己生成一个硬件设计。

设计流程涉及到多个不同层次的抽象级别,在不同的抽象层次进行转换时需要一种统一的描述和语言支持,而芯片设计与生产所涉及的巨大开销,使得设计越来越依赖于CAD工具所实现的设计自动化,而设计一个芯片需要很大的资金的投入,产品上市的压力也越来越大。

2.1.3VHDL的优势

与其他硬件描述语言相比,VHDL具有以下优势:

(1)与其他的硬件描述语言相比,VHDL具有更强的行为描述能力,从而决定了他成为系统设计领域最佳的硬件描述语言。

强大的行为描述能力是避开具体的器件结构,从逻辑行为上描述和设计大规模电子系统的重要保证。

(2)VHDL丰富的仿真语句和库函数,使得在任何大系统的设计早期就能查验设计系统的功能可行性,随时可对设计进行仿真模拟。

(3)VHDL语句的行为描述能力和程序结构决定了他具有支持大规模设计的分解和已有设计的再利用功能。

符合市场需求的大规模系统高效,高速的完成必须有多人甚至多个代发组共同并行工作才能实现。

(4)对于用VHDL完成的一个确定的设计,可以利用EDA工具进行逻辑综合和优化,并自动的把VHDL描述设计转变成门级网表。

(5)VHDL对设计的描述具有相对独立性,设计者可以不懂硬件的结构,也不必管理最终设计实现的目标器件是什么,而进行独立的设计。

2.1.4VHDL的设计流程图如图2.1,图2.2

图2.1设计流程图

图2.2系统细化流程图

2.2QuartusII系统

2.2.1QuartusII简介

QuartusII是Altera公司的综合性PLD/FPGA开发软件,支持原理图、VHDL、VerilogHDL以及AHDL(AlteraHardwareDescriptionLanguage)等多种设计输入形式,内嵌自有的综合器以及仿真器,可以完成从设计输入到硬件配置的完整PLD设计流程。

QuartusII可以在XP、Linux以及Unix上使用,除了可以使用Tcl脚本完成设计流程外,提供了完善的用户图形界面设计方式。

具有运行速度快,界面统一,功能集中,易学易用等特点。

QuartusII支持Altera的IP核,包含了LPM/MegaFunction宏功能模块库,使用户可以充分利用成熟的模块,简化了设计的复杂性、加快了设计速度。

对第三方EDA工具的良好支持也使用户可以在设计流程的各个阶段使用熟悉的第三方EDA工具。

此外,QuartusII通过和DSPBuilder工具与Matlab/Simulink相结合,可以方便地实现各种DSP应用系统;支持Altera的片上可编程系统(SOPC)开发,集系统级设计、嵌入式软件开发、可编程逻辑设计于一体,是一种综合性的开发平台。

MaxplusII作为Altera的上一代PLD设计软件,由于其出色的易用性而得到了广泛的应用。

目前Altera已经停止了对MaxplusII的更新支持,QuartusII与之相比不仅仅是支持器件类型的丰富和图形界面的改变。

Altera在QuartusII中包含了许多诸如SignalTapII、ChipEditor和RTLViewer的设计辅助工具,集成了SOPC和HardCopy设计流程,并且继承了MaxplusII友好的图形界面及简便的使用方法。

AlteraQuartusII作为一种可编程逻辑的设计环境,由于其强大的设计能力和直观易用的接口,越来越受到数字系统设计者的欢迎。

2.2.2QuartusII功能简介

QuartusII是Altera公司的第四代可编程逻辑器件集成开发环境,提供从设计输入到器件编程的全部功能。

QuartusII可以产生并识别EDIF网表文件、VHDL网表文件和VerilogHDL网表文件,为其他EDA工具提供了方便的接口,可以在QuartusII集成环境中自动运行其他EDA工具。

利用QuartusII软件的开发流程可概括为以下几步:

设计输入、设计编译、设计定时分析、设计仿真和器件编程。

如图2.3

 

图2.3功能的菜单界面

(1)设计输入

QuartusII软件在“File”菜单中提供“NewProjectWizard…”向导,引导设计者完成项目的创建。

当设计者需要向项目中添加新的VHDL文件时,可以通过“New”选项添加。

(2)设计编译

QuartusII编译器完成的功能有:

检查设计错误、对逻辑进行综合、提取定时信息、在指定的Altera系列器件中进行适配分割,产生的输出文件将用于设计仿真、定时分析及器件编程。

①首先确定软件处于编译模式,可以通过“Processing”菜单进行选择。

②在“Processing”菜单中选择“plierSetting”项。

可以进行器件选择、模式设定、综合和适配选项设定及设计验证等。

③单击“Processing”菜单下的“Startpilation”项,开始编译过程。

④查看编译结果。

(3)设计定时分析

单击“Project”菜单下的“Timingsetting…”选项,可以方便地完成时间参数的设定。

QuartusII软件的定时分析功能在编译过程结束之后自动运行,并在编译报告的Timing文件夹中显示。

其中我们可以得到最高频率fmax、输入寄存器的建立时间tSU、引脚到引脚延迟tPD、输出寄存器时钟到输出的延迟tCO和输入保持时间tH等时间的详细报告,从中可以清楚地判定是否达到系统的定时要求。

(4)设计仿真

QuartusII软件允许设计者使用基于文本的向量文件(.vec)作为仿真器的激励,也可以在QuartusII软件的波形编辑器中产生向量波形文件(.vwf)作为仿真器的激励。

波形编辑方式与MAX+pulsII软件的操作相似。

在“Processing”菜单下选择“SimulateMode”选项进入仿真模式,选择“SumlatorSettings…”对话进行仿真设置。

在这里可以选择激励文件、仿真模式(功能仿真或时序仿真)等,单击“RunSimulator”即可开始仿真过程。

(5)器件编程

设计者可以将配置数据通过MasterBlaster或ByteBlasterMV通信电缆下载到器件当中,通过被动串行配置模式或JTAG模式对器件进行配置编程,还可以在JTAG模式下给多个器件进行编程。

利用QuartusII软件给器件编程或配置时,首先需要

打开编程器(在“New”菜单选项中选择打开“ChainDescription”),在编程器中可以进行编程模式设置(Mode下拉框)、硬件配置(“ProgrammingHardware”对话框)及编程文件选择(“AddFile…”按钮),将以上配置存盘产生.cdf文件,其中存储了器件的名称、器件的设计及硬件设置等编程信息。

当以上过程正确无误后,单击“Start”按钮即可开始对器件进行编程配置。

3直流电机速度控制系统设计

3.1直流电机

3.1.1直流电机的结构

图3.1直流电机的物理模型图

其中,固定部分有磁铁,这里称为主磁极;固定部分还有电刷。

转动部分有环形铁心和绕在环形铁心上的绕组。

(其中2个小圆圈是为了方便的表示该位置上的导体电势或电流的方向而设置的)

上图表示一台最简单的两极直流电机模型,它的固定部分(定子)上,装设了一对直流励磁的静止的主磁极N和S,在旋转部分(转子)上装设电枢铁心。

定子与转子之间有一气隙。

在电枢铁心上放置了由A和X两根导体连成的电枢线圈,线圈的首端和末端分别连到两个圆弧形的铜片上,此铜片称为换向片。

换向片之间互相绝缘,由换向片构成的整体称为换向器。

换向器固定在转轴上,换向片与转轴之间亦互相绝缘。

在换向片上放置着一对固定不动的电刷B1和B2,当电枢旋转时,电枢线圈通过换向片和电刷与外电路接通。

3.1.2基本工作原理

图3.2

对图3.1所示的直流电机,如果去掉原动机,并给两个电刷加上直流电源,如上图(a)所示,则有直流电流从电刷A流入,经过线圈abcd,从电刷B流出,根据电磁力定律,载流导体ab和cd收到电磁力的作用,其方向可由左手定则判定,两段导体受到的力形成了一个转矩,使得转子逆时针转动。

如果转子转到如上图(b)所示的位置,电刷A和换向片2接触,电刷B和换向片1接触,直流电流从电刷A流入,在线圈中的流动方向是dcba,从电刷B流出。

此时载流导体ab和cd受到电磁力的作用方向同样可由左手定则判定,它们产生的转矩仍然使得转子逆时针转动。

这就是直流电动机的工作原理。

外加的电源是直流的,但由于电刷和换向片的作用,在线圈中流过的电流是交流的,其产生的转矩的方向却是不变的。

[4]

 实用中的直流电动机转子上的绕组也不是由一个线圈构成,同样是由多个线圈连接而成,以减少电动机电磁转矩的波动,绕组形式同发电机。

3.2直流电机速度控制系统电路安排

在进行HDL(无论VHDL或Verilog)编程之前,需要熟悉整个硬件系统,

设计其结构图。

接下来,就可以编写HDL与绘制HDL的模块图。

图3.6所

示为直流电机速度控制系统的结构图,对FPGA用VHDL进行编程,任务是接

收编码器的输入,比较目标速度之后,产生PWM及方向信号输出。

图3.3

3.3直流电机速度控制系统的总体模块图

要想控制直流电机就必须产生正确的输出、输入端口信号。

通过完成直流

电机速度控制系统各个组成部分的设计,再把这些部分组装起来,形成完整的总

体设计,命为motorctrl,仿真出顶层设计图,其外部端口如图3.4所示。

 

图3.4

对外的端口引脚名称如下:

(1)输入:

clk、reset、speed_now[7…0]、target_speed[7…0]、th_speed[7…0]

(2)输出:

pwme其中,clk为时钟信号,speed_now[7…0]为外

部检测直流电机的速度,target_speed[7downto0]为设定电流电机

预定达到目标的速度值,th_speed[7…0],为设定直流电机进入弱加速度的临界

速度差值,pwme为控制直流电机转动信号。

3.4直流电机速度控制系统VHDL程序设计

DAC0832处于直通模式,可以将CS,WR直接写入低电平信号‘0’,然后将开关输入的数据通过时钟来更新,并将数据传输给DATA7~DATA0。

从而实行电机的调速驱动。

原理图如下图所示。

设计代码如下:

libraryieee;

useieee.std_logic_1164.all;

useieee.std_logic_unsigned.all;

useieee.std_logic_arith.all;

entityDA_motoris

port

IN_DATA:

instd_logic_vector(7downto0);--输入数字量sw7~sw0引脚32~44

CLK:

instd_logic;--时钟

CS_LOW:

outstd_logic;--直通方式低电平引脚59

WRITE_LOW:

outstd_logic;--直通方式低电平引脚71

OUT_DATA:

outstd_logic_vector(7downto0)--输出数字量引脚46~55用线排线将插座相连

);

endDA_motor;

architectureaofDA_motoris

signaldata:

std_logic_vector(7downto0);--形参

Begin

process(CLK)

begin

CS_LOW<='0';--直通

WRITE_LOW<='0';--直通

ifrising_edge(CLK)--检测CLK上跳沿,更新输出值

THENdata<=IN_DATA;

endif;

endprocess;

OUT_DATA<=DATA;

end;

3.5直流电机速度控制系统的调试及运行

仿真结果如下图、分别赋值000,111,101时,当clk时钟信号为上跳沿时,输出为00000000,11111111,10000000(0,255,128)并保持一个周期。

时序赋值

时序仿真运行

引脚分配图

3.6结果分析

1.选择“tools”菜单中的“programmer”,在弹出对话框中选择编程模式和变成目标文件,并选择“JTAG”编程模式和Program/configure。

2.将下载线连至AS模式端口并加电。

3.单击“start”按钮,当“Progress”显示为100%时,编程成功,FPGA开始工作,通过拨动开关,直流电机的速度发生变化,达到预期效果。

 

4设计总结

这次的自动化课程设计历时两个星期,这两个星期的的日子里在王立谦老师的帮助下成功完成了这个有挑战性的任务要求。

在这次的课程设计中,在收获知识的同时,还收获了阅历,收获了成熟,在此过程中,我们通过查找大量资料,请教老师,以及不懈的努力,不仅培养了独立思考、动手操作的能力,也提高并培养了我的严谨的科学态度。

更重要的是,在实验室,我们学会了很多学习的方法。

而这是日后最实用的,真的是受益匪浅。

要面对社会的挑战,只有不断的学习、实践,再学习、再实践。

从一开始写程序到上机调试,时序仿真,引脚配置等等都遇到了很多问题。

一开始由于长时间没有接触QuartusII,对于软件的操作很是生疏再加上对于以前的知识的遗忘,导致程序的问题很多在老师的帮助和自己的思考下也都得到了解决。

之后由于没有飞线,导致电机的速度没有发生变化。

后来经过测量,在老师的帮助下了解硬件连接装置,测出了引脚分配图序。

使得实验成功。

这次的综合课程设计使自己有了一个思考的过程,和对VHDL语言的一次理解的加深。

最后,对给过我帮助的所有同学和指导老师王立谦老师再次表示忠心的感谢!

 

参考文献

[1]王金明,杨吉斌.数字系统设计与VerilogHDL[M].:

电子工业,

[2]冯小平,X林,赵焕军.一种基于CPLD实现的数字化PWM波形产生器[J].现代电子技术,2003,(3):

71-72

[3]陈桂,万其.基于FPGA的直流电机速度控制器设计[J].电子工程师,2006,

(2):

70-75

[4]X俭锋等编著.VHDL语言100例详解[M].:

清华大学,1999

[5]周淑阁.模拟电子技术基础[M].:

高等教育2004

[6]余孟尝.数字电子技术基础简明教程[M].:

高等教育,1999

[7]侯伯亨,顾新.VHDL硬件描述语言与数字逻辑电路设计[M].XX:

XX电子科技大学,2000

[8]李洪伟,袁斯华.基于QUARTUS2的FPGA/CPLD设计[M].:

电子工业,2006

[9]潘明,许勇.基于FPGA的直流电机脉宽调制控制[J].XX科学院学报,2005,(4):

219-221

[10]X亦华,延明,肖冰.数字逻辑设计实验技术与EDA工具[M].:

邮电大学,2003

[11]MarkZwolinski著,李仁发等译.VHDL数字系统设计[M].:

电子工业,2004

[12]宋万杰.CPLD技术及其应用[M].XX:

XX电子科技大学,2000

[13]潘松EDA技术实用教程[M].:

科学,2005

[14]StefanSioholm等著,边计年等译.用VHDL设计电子线路[M].:

清华大学,2000

[15]胡振华.VHDL与FPGA设计[M].XX:

中国铁道,2003

 

课程设计成绩评定表

项目

比例

得分

平时成绩(百分制记分)

30%

业务考核成绩(百分制记分)

70%

总评成绩(百分制记分)

100%

评定等级

优良中及格不及格

指导教师(签名):

 

20年月日

 

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 高等教育 > 法学

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1