中国民航大学CPLDEDA课程题库复习.docx

上传人:b****5 文档编号:29302469 上传时间:2023-07-22 格式:DOCX 页数:35 大小:108.11KB
下载 相关 举报
中国民航大学CPLDEDA课程题库复习.docx_第1页
第1页 / 共35页
中国民航大学CPLDEDA课程题库复习.docx_第2页
第2页 / 共35页
中国民航大学CPLDEDA课程题库复习.docx_第3页
第3页 / 共35页
中国民航大学CPLDEDA课程题库复习.docx_第4页
第4页 / 共35页
中国民航大学CPLDEDA课程题库复习.docx_第5页
第5页 / 共35页
点击查看更多>>
下载资源
资源描述

中国民航大学CPLDEDA课程题库复习.docx

《中国民航大学CPLDEDA课程题库复习.docx》由会员分享,可在线阅读,更多相关《中国民航大学CPLDEDA课程题库复习.docx(35页珍藏版)》请在冰豆网上搜索。

中国民航大学CPLDEDA课程题库复习.docx

中国民航大学CPLDEDA课程题库复习

一、填空

1、与软件语言编译的作用相似,在硬件语言中称之为____________。

综合

2、综合是将描述电路的高级语言转换为低级的,可与FPGA/CPLD结构相映射的______文件。

网表

3、综合可分为自然语言综合、行为综合、____________和____________。

逻辑综合结构综合

4、仿真包括_______仿真和________仿真,其中________仿真包含硬件特性参数,仿真精度高。

功能时序时序

5、对CPLD的程序下载通常称为编程,对FPGA的程序下载通常称为________,二者作用一样。

配置

6、可编程逻辑器件的业界三巨头是ALTERA、________和________。

LatticeXILINX

7、简单PLD包括PROM、________、________和GAL。

其中__________为与阵列固定,或阵列可编程。

PLAPALPROM

8、IP英文全称是IntellectualProperty,中文含义是____________,可分为___________、____________和固IP。

知识产权核软IP硬IP

9、可编程逻辑器件从结构上分类,简单PLD和CPLD属于___________结构,FPGA属于___________结构。

乘积项查找表

10、可编程逻辑器件从编程工艺上可分为熔丝型、反熔丝型、EPROM型、________型和_________型。

E2PROMSRAM

11、PLD的基本结构包括输入电路、__________、__________和输出电路。

与阵列或阵列

12、MAX7000系列CPLD以16个宏单元构成一个_____________,而每个宏单元包括5个____________。

逻辑阵列块乘积项

13、乘积项扩展分为________扩展乘积项和________扩展乘积项两种方式。

共享并联

14、FLEX10K系列FGPA以8个逻辑单元构成一个__________,每个逻辑单元包含一个四输入的________。

逻辑阵列块查找表

15、对于MAXPLUSII软件,有四种新建文件形式,分别是_______、符号、________和波形。

图形文本

16、在VHDL程序结构中,_______用于描述输入输出端口,________用于描述系统的内部结构和行为。

实体结构体

17、在VHDL中与BIT数据类型很象,且应用更广泛的数据类型是_____________。

STD_LOGIC

18、a=’0’,b=’1’则b&a=_____,aandb=_____。

100

19、断言语句主要用于程序仿真,给出的提示信息分为4类,分别是失败、______、警告和注意。

错误

20、常用的面积优化技术包括___________、____________和串行化。

资源共享逻辑优化

21、一个完整的VHDL设计文件通常包括5个部分,分别是库、程序包、_________、_________和_______。

实体结构体配置

22、VHDL的数据对象包括常量、_________和___________。

变量信号

23、常用的速度优化技术包括________________、_________________和关键路径法。

流水线技术寄存器配平

24、常用的硬件描述语言除VHDL外还有____________、AHDL。

Verilog

25、std_logic数据类型包括9种状态,其中最常用的是_____、_____和Z。

10

26、最典型的两种状态机是________状态机和_______状态机。

摩尔米粒

27、原来处理一个信号需时间T,处理5个信号需5T,如采用采用3级流水线技术处理5个信号需______时间。

7T/3

28、当进程中的敏感信号表为空时,可通过_______语句控制进程的执行和挂起。

WAIT

29、VHDL的基本语句分为_______语句和_______语句两大,进程语句属于____________。

并行顺序并行

30、子程序是结构体的一种子结构,由一组顺序语句组成,包括_________和_________两类。

函数过程

31、端口表指明输入/输出信号及其模式,端口模式共有四种,包括IN、_______、_______、BUFFER。

OUTINOUT

32、可编程逻辑器件从结构上可分为__________结构和___________结构。

乘机项查找表

33、在编程方式上,CPLD主要基于E2PROM或FLASH存储器编程,而FPGA主要基于_______编程。

SRAM

34、Altera公司是著名的PLD生产厂商,其CPLD的代表产品是_________系列,而FPGA的代表产品是________系列。

MAX7000FLEX10K

35、________边界扫描技术主要用于解决可编程逻辑器件芯片的测试问题。

JTAG

36、VHDL程序存盘的文件名应与_______名一致。

实体

37、VHDL语言的结构体包括三种子结构,分别是块、________和________。

进程子程序

38、进程具有顺序和并行的双重特性是因为其本身是________,但其内部的语句是________。

并行语句顺序执行

39、块语句作为结构体的一种子结构,只是起单纯的分段作用,其内部都是_______语句。

并行

40、信号带入语句既可以是顺序语句,也可以是并行语句,当其处于进程内时它是_______语句。

顺序

41、MAXPLUS默认已将STD库的_______程序包包含了,所以使用标准数据类型时无需引用库和程序包。

STANDARD

42、8#156#对应的十进制数是________。

110

43、16#E1#E2对应的十进制数是________。

57600

44、2#1101_1110#对应的十进制数是________。

222

45、1001010的SRL结果是_____________,1001010的SLA的结果是_____________。

01001010010100

46、在VHDL语言中表示空操作的是________。

NULL

47、一个结构体可以有多个进程语句,进程与进程是________执行的,进程间通过________进行数据交换。

并行信号

48、状态机常用的有三种编码方式分别是二进制编码、________和ONEHOT编码,其中使用触发器较多但速度最快的是________。

格雷码ONEHOT编码

二,单选

1、IP核在EDA技术和开发中具有十分重要的地位;提供用VHDL等硬件描述语言描述的功能块,但不涉及实现该功能块的具体电路的IP核为__________。

A

    A .软IP     B.固IP      C.硬IP        D.都不是

2、大规模可编程器件主要有FPGA、CPLD两类,下列对FPGA结构与工作原理的描述中,正确的是____。

C

A.FPGA是基于乘积项结构的可编程逻辑器件;

B.FPGA是全称为复杂可编程逻辑器件;

C.基于SRAM的FPGA器件,在每次上电后必须进行一次配置;

D.在Altera公司生产的器件中,MAX7000系列属FPGA结构。

3、进程中的变量赋值语句,其变量更新是_________。

A

A.立即完成;B.按顺序完成;C.在进程的最后完成;D.都不对。

4、VHDL语言是一种结构化设计语言;一个设计实体(电路模块)包括实体与结构体两部分,结构体描述________。

D

A.器件外部特性;B.器件的综合约束;C.器件外部特性与内部功能;D.器件的内部功能。

5、下列标识符中,__________是不合法的标识符。

B

A. State0 B. 9moonC. Not_Ack_0D. signall

6、关于VHDL中的数字,请找出以下数字中最大的一个:

__________。

A

C.2#1111_1110#       B.8#276#

C.10#170#       D.16#E#E1

7、下面对利用原理图输入设计方法进行数字电路系统设计,那一种说法是不正确的___。

C

A.原理图输入设计方法直观便捷,但不适合完成较大规模的电路系统设计;

B.原理图输入设计方法一般是一种自底向上的设计方法;

C.原理图输入设计方法无法对电路进行功能描述; 

D.原理图输入设计方法也可进行层次化设计。

8、下面对利用原理图输入设计方法进行数字电路系统设计,哪一种说法是正确的:

__________B

A.原理图输入设计方法直观便捷,很适合完成较大规模的电路系统设计

B.原理图输入设计方法一般是一种自底向上的设计方法

C.原理图输入设计方法无法对电路进行功能描述

D.原理图输入设计方法不适合进行层次化设计

9、在一个VHDL设计中idata是一个信号,数据类型为integer,数据范围0 to 127,下面哪个赋值语句是正确的________。

C

A.idata :

= 32;    B.idata <= 16#A0#;C.idata <= 16#7#E1;D.idata :

= B#1010#;

10、大规模可编程器件主要有FPGA、CPLD两类,下列对CPLD结构与工作原理的描述中,正确的是:

_____D

A. CPLD是基于查找表结构的可编程逻辑器件

B. CPLD即是现场可编程逻辑器件的英文简称

C. 早期的CPLD是从FPGA的结构扩展而来

D. 在Xilinx公司生产的器件中,XC9500系列属CPLD结构

11、基于VHDL设计的仿真包括有①门级时序仿真、②行为仿真、③功能仿真和④前端功能仿真这四种,按照自顶向下的设计流程,其先后顺序应该是:

_________D

A.①②③④B.②①④③C.④③②①D.②④③①

12、在VHDL语言中,下列对进程(PROCESS)语句的语句结构及语法规则的描述中,不正确的是_______。

C

A.PROCESS为一无限循环语句;敏感信号发生更新时启动进程,执行完成后,等待下一次进程启动。

B.敏感信号参数表中,不一定要列出进程中使用的所有输入信号;

C.进程由说明部分、结构体部分、和敏感信号三部分组成;

D.当前进程中声明的变量不可用于其他进程。

13、在VHDL语言中,下列对进程(PROCESS)语句的语句结构及语法规则的描述中,不正确的是:

_______D

A.PROCESS为一无限循环语句

B.敏感信号发生更新时启动进程,执行完成后,等待下一次进程启动

C.当前进程中声明的变量不可用于其他进程

D.进程由说明语句部分、并行语句部分和敏感信号参数表三部分组成

14、对于信号和变量的说法,哪一个是不正确的:

_________A

A.信号用于作为进程中局部数据存储单元

B.变量的赋值是立即完成的

C.信号在整个结构体内的任何地方都能适用

D.变量和信号的赋值符号不一样

15、VHDL语言共支持四种常用库,其中哪种库是用户的VHDL设计现行工作库:

_______D

A.IEEE库B.VITAL库C.STD库D.WORK工作库

16、下列4个VHDL标识符中正确的是:

_______B

A.10#128#  B.16#E#E1C.74HC124   D.X_16

17、下列语句中,不属于并行语句的是:

_______B

A.进程语句B.CASE语句C.元件例化语句D.WHEN…ELSE…语句

18、大规模可编程器件主要有FPGA、CPLD两类,下列对FPGA结构与工作原理的描述中,正确的是____。

C

A.FPGA全称为复杂可编程逻辑器件; 

B.FPGA是基于乘积项结构的可编程逻辑器件;

C.基于SRAM的FPGA器件,在每次上电后必须进行一次配置;

D.在Altera公司生产的器件中,MAX7000系列属FPGA结构。

19、进程中的信号赋值语句,其信号更新是_______。

C

A.按顺序完成;B.比变量更快完成;C.在进程的最后完成;D.都不对。

20、在一个VHDL设计中Idata是一个信号,数据类型为std_logic_vector,试指出下面那个赋值语句是错误的。

D

A.  idata <= “00001111”;        B.  idata <= b”0000_1111”;

C.  idata <= X”AB”;             D.  idata <= B”21”;

21、在VHDL语言中,下列对时钟边沿检测描述中,错误的是_____。

D

A. if clk’event and clk = ‘1’ then

B. if falling_edge(clk) then  

C. if clk’event and clk = ‘0’ then

D.if clk’stable and not clk = ‘1’ then

22、综合是EDA设计流程的关键步骤,综合就是把抽象设计层次中的一种表示转化成另一种表示的过程;在下面对综合的描述中,______是错误的。

D

A. 综合就是将电路的高级语言转化成低级的,可与FPGA / CPLD的基本结构相映射的网表文件;

B. 为实现系统的速度、面积、性能的要求,需要对综合加以约束,称为综合约束;

C. 综合可理解为,将软件描述与给定的硬件结构用电路网表文件表示的映射过程,并且这种映射关系不是唯一的。

 

D.综合是纯软件的转换过程,与器件硬件结构无关

23、CPLD的可编程主要是基于什么结构:

A.查找表(LUT)B.ROM可编程

C.PAL可编程D.与或阵列可编程

24、进程中的变量赋值语句,其变量更新是。

A.立即完成B.按顺序完成

C.在进程的最后完成D.都不对

25、下面哪种程序包总是可见的,即在程序中不必明确打开并指定。

A.STD_LOGIC_UNSIGNEDB.STANDARD

C.STD_LOGIC_SIGNEDD.STD_LOGIC_1164

26、关于VHDL中的数字,请找出以下数字中数值最小的一个:

A.2#1111_1110#B.8#276#

C.10#170#D.16#E#E1

27、下列语句中,属于并行语句的是:

A.IF语句B.CASE语句

C.进程语句D.RETURN语句

28、电子系统设计优化,主要考虑提高资源利用率减少功耗——即面积优化,以及提高运行速度——即速度优化:

指出下列哪种方法不属于面积优化:

A.资源共享B.逻辑优化

C.串行化D.流水线设计

29、VHDL的实体声明部分用来指定设计单元的()。

A.输入端口B.输出端口C.引脚D.以上均可

30、在下列标识符中,()是VHDL合法标识符。

A.4h_addeB.h_adde4_C.h_adderD._h_adde

31、在VHDL的进程语句格式中,敏感信号表列出的是设计电路的()信号。

A.输入B.输入和输出C.输出D.时钟

32、一个项目的输入输出端口是定义在A。

A.实体中B.结构体中C.任何位置D.进程体

33、不属于顺序语句的是B。

A.IF语句B.LOOP语句C.PROCESS语句D.CASE语句

34、正确给变量X赋值的语句是B。

A.X<=A+B;B.X:

=A+b;C.X=A+B;D.前面的都不正确

35、EDA的中文含义是A。

A.电子设计自动化B.计算机辅助计算C.计算机辅助教学D.计算机辅助制造

36、可编程逻辑器件的英文简称是。

A.FPGAB.PLAC.PALD.PLD

37、现场可编程门阵列的英文简称是。

A.FPGAB.PLAC.PALD.PLD

38、基于下面技术的PLD器件中允许编程次数最多的是。

A.FLASHB.EEROMC.SRAMD.PROM

39、在EDA中,ISP的中文含义是。

A.网络供应商B.在系统编程C.没有特定意义D.使用编程器烧写PLD芯片

40、在EDA中,IP的中文含义是。

A.网络供应商B.在系统编程C.没有特定意义D.知识产权核

41、EPF10K20TC144-4具有多少个管脚A。

A.144个B.84个C.15个D.不确定

42、EPF10K20TC144-X器件,如果X的值越小表示。

A.器件的工作频率越小B.器件的管脚越少C.器件的延时越小D.器件的功耗越小

43、如果a=1,b=1,则逻辑表达式(aXORb)OR(NOTbANDa)的值是A。

A.0B.1C.2D.不确定

44、执行下列语句后Q的值等于B。

……

SIGNALE:

STD_LOGIC_VECTOR(2TO5);

SIGNALQ:

STD_LOGIC_VECTOR(9DOWNTO2);

……

E<=(2=>’1’,4=>’0’,OTHERS=>’1’);

Q<=(2=>E

(2),4=>E(3),5=>’1’,7=>E(5),OTHERS=>E(4));

……

A.“11011011”B.“00101101”C.“11011001”D.“00101100”

45、VHDL文本编辑中编译时出现如下的报错信息

Error:

VHDLsyntaxerror:

signaldeclarationmusthave‘;’,butfoundbegininstead.其错误原因是A。

A.信号声明缺少分号。

B.错将设计文件存入了根目录,并将其设定成工程。

C.设计文件的文件名与实体名不一致。

D.程序中缺少关键词。

46、VHDL文本编辑中编译时出现如下的报错信息

Error:

VHDLsyntaxerror:

choicevaluelengthmustmatchselectorexpressionvaluelength其错误原因是A。

A.表达式宽度不匹配。

B.错将设计文件存入了根目录,并将其设定成工程。

C.设计文件的文件名与实体名不一致。

D.程序中缺少关键词。

47、MAX+PLUSII的设计文件不能直接保存在B。

A.硬盘B.根目录C.文件夹D.工程目录

48、MAXPLUSII是哪个公司的软件A。

A.ALTERAB.ATMELC.LATTICED.XILINX

49、MAXPLUSII不支持的输入方式是D。

A.文本输入B.原理图输入C.波形输入D.矢量输入

50、MAXPLUSII中原理图的后缀是B。

A.DOCB.GDFC.BMPD.JIF

51、在一个VHDL设计中Idata是一个信号,数据类型为std_logic_vector,下面那个赋值语句是错误的D。

A.idata<=“00001111”;

B.idata<=b”0000_1111”;

C.idata<=X”AB”;

D.idata<=B”21”;

52、在VHDL语言中,下列对时钟边沿检测描述中,错误的是D。

A.ifclk’eventandclk=‘1’thenB.iffalling_edge(clk)then

C.ifclk’eventandclk=‘0’thenD.ifclk’stableandnotclk=‘1’then

53、下面对利用原理图输入设计方法进行数字电路系统设计的描述中,那一种说法是不正确的。

A.原理图输入设计方法直观便捷,但不适合完成较大规模的电路系统设计;

B.原理图输入设计方法一般是一种自底向上的设计方法;

C.原理图输入设计方法无法对电路进行功能描述;

D.原理图输入设计方法也可进行层次化设计。

54、在一个VHDL设计中idata是一个信号,数据类型为integer,数据范围0to127,下面哪个赋值语句是正确的。

C。

A.idata:

=32;B.idata<=16#A0#;C.idata<=16#7#E1;D.idata:

=B#1010#;

55、下列那个流程是正确的基于EDA软件的FPGA/CPLD设计流程:

A。

A.原理图/HDL文本输入→功能仿真→综合→适配→编程下载→硬件测试

B.原理图/HDL文本输入→适配→综合→功能仿真→编程下载→硬件测试;

C.原理图/HDL文本输入→功能仿真→综合→编程下载→→适配硬件测试;

D.原理图/HDL文本输入→功能仿真→适配→编程下载→综合→硬件测试

56、在VHDL语言中,下列对进程(PROCESS)语句的语句结构及语法规则的描述中,正确的是。

A.PROCESS为一无限循环语句;敏感信号发生更新时启动进程,执行完成后,等待下一次进程启动。

B.敏感信号参数表中,应列出进程中使用的所有输入信号;

C.进程由说明部分、结构体部分、和敏感信号参数表三部分组成;

D.当前进程中声明的信号也可用于其他进程。

57、对于信号和变量的说法,哪一个是不正确的:

A。

A.信号用于作为进程中局部数据存储单元

B.变量的赋值是立即完成的

C.信号在整个结构体内的任何地方都能适用

D.变量和信号的赋值符号不一样

58、VHDL语言共支持四种常用库,其中哪种库是用户的VHDL设计现行工作库:

A.IEEE库B.VITAL库C.STD库D.WORK工作库

59、下列语句中,不属于并行语句的是:

B。

A.进程语句B.CASE语句C.元件例化语句D.WHEN…ELSE…语句

60、下面哪一条命令是MAX+PLUSII在时序仿真时执行加载节点的命令?

C。

A.file—>setprojecttocurrentfileB.assign—>pin/locationchip

C.node—>enternodefromSNFD.file—>createdefaultsymbol

61、在EDA工具中,能将硬件描述语言转换为硬件电路的重要工具软件称为D。

A.仿真器B.综合器C.适配器D.下载器

62、VHDL文本编辑中编译时出现如下的报错信息

Error:

Can’topenVHDL“WORK”其错误原因是B。

A.错将设计文件的后缀写成.tdf,而非.vhd。

B.错将设计文件存入了根目录,并将其设定成工程。

C.设计文件的文件名与实体名不一致。

D.程序中缺少关键词。

63、在VHDL的CASE语句中,条件句中的“=>”不是操作符号,它只相当与B作用。

A.IFB.THENC.ANDD.OR

64、下面哪一条命令是

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 初中教育 > 英语

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1