毕业论文自动售货机汇总#精选.docx

上传人:b****5 文档编号:27944490 上传时间:2023-07-06 格式:DOCX 页数:30 大小:2.08MB
下载 相关 举报
毕业论文自动售货机汇总#精选.docx_第1页
第1页 / 共30页
毕业论文自动售货机汇总#精选.docx_第2页
第2页 / 共30页
毕业论文自动售货机汇总#精选.docx_第3页
第3页 / 共30页
毕业论文自动售货机汇总#精选.docx_第4页
第4页 / 共30页
毕业论文自动售货机汇总#精选.docx_第5页
第5页 / 共30页
点击查看更多>>
下载资源
资源描述

毕业论文自动售货机汇总#精选.docx

《毕业论文自动售货机汇总#精选.docx》由会员分享,可在线阅读,更多相关《毕业论文自动售货机汇总#精选.docx(30页珍藏版)》请在冰豆网上搜索。

毕业论文自动售货机汇总#精选.docx

毕业论文自动售货机汇总#精选

毕业设计说明书

自动售货机控制系统的设计与仿真

摘要

随着社会的进步,科学的发展,生活水平的提高,单一传统的生活方式已经不能满足现代居民对高品质生活的追求,在这种现象下,许多自动化设备应时代应潮流而生。

自动售货机作为自动化设备中的最常见的设备之一,由于其众多的优点,已经在世界各地得到了普遍的发展并且还在不断地发展。

自动售货机掀起了继百货商店和超市之后的又一零售狂潮,这种智能售货系统在车站、教学楼、办公楼等公共场所有着广阔的发展前景。

本文在对自动售货机的背景历史和发展趋势进行了研究介绍之后,对课题中的自动售货机系统进行了整体的设计及规划。

该自动售货机控制系统是基于EDA技术来实现的,同时,利用Altera公司的QuartusⅡ14.0作为其软件开发平台,利用VHDL编程语言输入的方式完成了软件设计。

本设计方案对整个控制系统进行了层次化的编写。

把整个系统划分为6个子模块,分别是找零计算模块、确认取消控制模块、找零控制模块、总钱数加和模块、显示模块、锁定模块,并且对每一个子模块进行了详细的软件设计。

在顶层文件中通过调用各个子模块来完成vhdl程序的设计。

最后在对每一个子模块完成了功能仿真测试验证,同时也对整个系统进行顶层设计和仿真测试,测试结果表明了整个控制系统的合理性以及正确性。

整个控制系统设计简单合理,操作简单,满足售货机的基本要求,具有一定的实用意义。

关键词:

自动售货机、VHDL、EDA、QuartusⅡ

 

Abstract

Abstract:

Withtheprogressofthesociety,thedevelopmentofthescientific,andtheimprovementoflivingstandards,singletraditionalwayoflifehasnotmeetmodernresidents'pursuitofhighqualitylife,underthiskindofphenomenon,anumberofautomationequipmentshouldcomeintobeing.Vendingmachineasoneofthemostcommonequipmentintheautomationequipment,hasbeendevelopallovertheworldanddevelopconstantlybecauseithasmanyadvantages.Vendingmachinessetoffafterdepartmentstoresandsupermarketsinanotherretailfrenzy,thisintelligentvendingsystemhasbroadprospectsfordevelopmentinthestation,schoolbuildings,officebuildingsandotherpublicplaces.

Basedonthebackgroundofthevendingmachine,thearticledesignsandplanstheprojectofvendingmachinesystemafterintroducingthehistoryanddevelopmenttrend.ThevendingmachinecontrolsystemisbasedontheEDAtechnologytorealize,atthesametime,itusedQuarterⅡ14.0oftheAlteracorporationasthesoftwaredevelopmentplatform,takingadvantageofVHDLprogramminglanguageinputcompletedthesoftwaredesign.Thedesignschemeishierarchicalwriteforthewholehierarchicalcontrolsystem.Thewholesystemisdividedintosixsubmodules,changecalculationmodule,confirmingcancellationcontrolmodule,thechangecontrolmodule,totalamountmodule,displaymodule,lockingmodule,andeachchildmodulesoftwaredesignisdesignindetail.OnthetopfloorfilebycallingeachmoduletocompletetheVHDLprogramdesign.

Finally,Thedesignschemecompletedthefunctionsimulationtesttoverifyforeachchildmodules,andconductsTop-leveldesignandsimulationtestforthewholesystem,thetestresultsshowtherationalityandvalidityofthewholecontrolsystem.Thedesignofthewholecontrolsystemissimpleandreasonable,theoperationissimple,itmeetsthebasicrequirementofthevendingmachineandhascertainpracticalsignificance.

keywords:

Thevendingmachine、EDA、VHDL、QuqrtusⅡ

1绪论

1.1课题研究背景

早在20世纪30年代,出售香烟的自动售货机就在美国被研制出来了,此后,各类自动售货机也相继被研究出来。

到了20世纪的70年代,自动售货机在欧美地区和日本的发展已经相当的普遍。

早在1998的数据统计中,日本就已经有了550万台各式各样各种功能的自动售货机,而美国所拥有的自动售货机的数量更是高达700万台,也就是说在上个世纪末在日本平均23个人就拥有一台自动售货机,而美国则是平均35个人就拥有一台。

自动售货机的优点之一就是无需人工看守,这点在当今世界人工劳动力成本普遍急剧上升的年代成为了各商家最看重的一点,它可以24小时不断的进行自动售货操作,在为商家降低成本的同时大大的增加了收益。

同时,其操作方便简单、占地面积小、全自动化等优点使其迅速在全世界各地普及开来。

日本作为使用自动售货机最普遍的国家,其国内的罐装饮料有大约70%是通过自动售货机来出售的,销售额为世界第一;在西班牙,全国零售业的销售额一半以上是由自动售货机来完成的,并且每年的速度都在不断增长。

从这些数据可以看出自动售货机所涉及的行业在零售行业中占据着不可替代的重要作用。

1.2课题研究的目的与意义

随着社会的不断发展与进步,城镇居民的收入日渐增多,生活条件也越来越好,城镇居民的消费方式和消费心理也正慢慢发生改变。

快捷自动化的生活方式正是快节奏生活下人们所追求的一种高品质的生活方式。

而自动售货机作为商业自动化的一种常见设备,无论是在国内还是国外,都深受消费者的喜爱,时代的发展为自动售货机提供了良好的发展条件。

然而,我国的自动售货机行业的发展却远远及不上欧美和日本等国家,究其原因一个方面是因为我国的自动售货机行业发展比较晚,另外一方面是因为我国要普遍发展自动售货机也有着技术的瓶颈。

自动售货机是一种内部集成度高、技术复杂的高科技设备,而现在我国多数企业都缺乏自主研发自主创新的精神,使得自动售货机的很多关键零件都要从国外进口,因此想要在国内发展这一行业,解决相关技术问题自主开发自动售货机是最重要的。

我国引进自动售货机已有差不多二十年的时间,然而至今在国内运营和自主研发制造自动售货机的企业少之又少,国外的自动售货机还毫无疑问的占据着我国绝大部分的的市场。

这种现象严重阻碍了我国发展自动售货机行业。

因此,加快脚步和加大力度去自主研制自动售货机是很有必要的,同时,更要时时关注最先进的科学技术,尽可能的把这些高科运用到自动售货机行业中去,只有这样才能让该行业在我国得到更好更快的的发展。

1.3国内外研究现状及趋势

目前,自动售货机已经在发达国家得到了广泛的运用,而我国由于行业起步较晚的原因使得各方面技术还不够成熟,还需要加大力度去积极发展这一行业。

在2012六月份,我国各式各类的自动售货机的总量只有三万台,而在2013六月份,该数量已经上升至五万台,增长幅度高达差不多50%,当然由自动售货机带来的营业额也是非常庞大的。

随着科技的不断发展,如今的自动售货机也越来越先进,其中设备的科技含量也日渐增高。

自动售货机的发展历程如图所示:

目前我国生产自动售货机主要有以下几家比较知名的厂家:

上海艾丝明自动售货机有限公司,主要生产咖啡机;天津歌德公司,主要生产咖啡机;大连富士冰山公司,主要生产饮料机。

除此之外还有青岛澳柯玛自动商用设备有限公司、天津奥莱特自动售货机有限公司等公司生产的自动售货机也是比较常见的。

自动售货机的发展趋势是由于社会的产业结构发生变化的产物。

由于社会的消费方式、销售环境等的变化,自动售货机的售货方式作为一种新的流通渠道,自然而然自动售货机也就应时代发展而生了。

自动售货机从一开始的功能单一结构单一发展到现在的功能多元化个性化,该行业也成为信息化的一种表现。

而且自动售货机的发展为了适应社会的发展也将会更加合理化人性化,它已不仅仅是一台用来销售商品的机器,随着科技的发展,通信的发展,互联网的发展,它也必将向着相互连通的信息生态圈的方向发展,必将发展成为一种集商品销售、信息流通等于一体的高科技的终端设备。

1.4课题研究的主要内容

本文主要研究利用自动售货机来完成商品处理、货币找零、出货操作、led显示等功能。

完成的工作主要包括以下几个方面:

1.对自动售货机的历史及发展前景做了简单的陈述。

2.对课题中用的比较多的EDA技术以及VHDL语言、QuarterⅡ软件开发平台的相关知识做了简单的介绍。

3.在对自动售货机的整个控制系统进行了一定的研究和分析之后,提出了整体设计方案。

4.对各个子模块功能的利用vhdl语言程序的输入的方式进行了详细的分析设计,并且对每一个子模块进行了仿真测试,对其相对应的功能进行了验证。

最后对整个系统进行顶层设计,同时也对整个系统进行了仿真,对整个自动售货机的功能进行了验证。

2相关技术简介

2.1EDA技术

电子设计自动化技术就是常见的EDA技术的简称,这种技术在现代电子设计中有着非常重要的作用。

EDA技术以强大的计算机为依赖,在相应的软件开发平台上,利用硬件描述语言(HDL)来完成系统的各项功能的逻辑描述。

EDA技术有着丰富的内容,与电子技术很多领域有着密不可分的联系,所以其发展同计算机辅助工程、电子设计技术以及集成电路的大规模设计技术等都是同步发展的。

就近30多年来其发展可分为以下阶段:

●上世纪70年代可编程逻辑器件开始问世,集成电路得到广泛应用,CAD技术也开始发展,EDA技术也就是在这个时候开始出现。

●上世纪80年代进入商用的复杂可编程逻辑器件、FPGA器件的出现、CAD技术广泛的应用、各类硬件描述语言的相继出现,这些解决EDA技术要广泛运用过程中所遇到的各种问题,为其规范应用奠定了基础。

●上世纪90年代,CAD、CAE等技术已经被广泛应用在电子领域方面。

同时,通信技术的发展、计算机技术的发展使得EDA技术有了更大的发展空间。

发展至现在的EDA技术,CPLD和FPGA等可编程逻辑器件是被应用得最多的,这些器件是利用硬件编程语言来进行软件设计以完成功能设计,这样的设计方式简单方便,有着非常大的灵活性。

可编程逻辑器件和可编程语言的发展改变了传统的电子设计的设计方法和设计理念,同时也让EDA技术有了更快的发展。

EDA技术在现代社会的发展及应用范围是非常广泛的,不仅在农业、医学、军事等领域被应用,在通信、化学、交通、航天等领域更是有着不可替代的重要作用。

(EDA技术与VHDLP1)

2.2VHDL

VHDL的全称是VeryHighSpeedCircuitLanguage,与另一种VerilogHDL语言是现在电子设计自动化中用的最多的两种硬件描述语言,现在用的比较多的EDA工具基本都支持这两种语言,在电子领域中已经成为了通用的硬件描述语言。

VHDL是一种无关设计平台、无关具体的硬件电路的语言。

跟一般的高级语言类似,不过却有着一般高级语言没有的具有硬件特性的语句,能很好的描述数字电路的功能和结构。

VHDL的结构特点是设计一项工程或实体,一个元件、一个系统或者一个电路模块都可以设计成为一个实体,被设计好的实体可以很方便的被调用,这是VHDL很大的一个特点。

与其他的硬件描述语言相比,VHDL有以下特点:

●设计好的模块可以任意无数次的被调用,很好的做到了资源共享和重复利用这一点,大大减少了设计人员的工作量和工作时间。

●语言的设计描述与器件无关,设计人员在进行电路的设计的时候,可以把精力放在优化电路上而不用先去考虑器件的选择,VHDL可以采用不同的器件来实现已经描述好的电路设计。

●语言功能强大,设计方法灵活,支持各式各样的设计方法,无论是自顶向下还是自底向上的设计方式在VHDL中都可以灵活的被运用。

同时,模块化设计,层次化设计等设计方法也是被支持的。

●具有很强的移植能力,对于同一个VHDL程序,它可以在不同的工作平台、综合器、模拟器之间相互移植。

一个完整的VHDL程序代码结构包括4个模块,库和程序包的模块、实体模块、结构体模块、配置结构模块。

其结构图如图所示:

2.3QuartusⅡ软件

QuartusⅡ是由Altera公司开发的一种EDA工具,是经过A+plus、然后到MAX+plus,再到MAX+plusⅡ发展而来的。

QuartusⅡ支持多种输入方式,例如原理图输入,硬件编程语言输入等,在使用QuartusⅡ软件时,它会将设计人员设计好的硬件编程语言或者原理图等自动转换目标文件,最后将目标文件下载到器件中。

通过DSPBuilder工具,QuartusⅡ能很好的跟Matlab、Simulink等结合起来,从而能够方便快捷的实现不同的DSP系统的设计。

因为有着简单直接的入口和很强的设计能力,以及使用灵活、方便快捷的优点,所以很受广大设计者的青睐。

QuartusⅡ软件有以下特点:

●支持多平台的设计输入,结合其处理和验证功能使其成为一个全集化的开发平台,让开发周期变短。

●是一个与结构无关的开发工具,QuartusⅡ通过把Cyclone、APEX、Mercury等设计环境转化为所需要的格式,真真正正的成为唯一一个与结构无关的开发工具。

●具有很具有全面的可设计开发资源,是一个集系统设计、软件开发、逻辑设计于一体的综合性开发平台。

QuartusⅡ的编程设计流程图所示:

2.4Modelsim仿真工具

Modelsim提供了可以与其他EDA工具(如QuartusⅡ)的接口工具。

Modelsim支持Verilog、vhdl或者二者相结合的混合。

针对不同的使用环境,Modeislm分为不同的版本,常见的版本有:

ModelsimAE、ModelsimASE、ModelsimSE等。

在本文的设计的版本是ModelsimASE10.1版本。

VHDL的仿真流程如图所示,首先在VHDl仿真器中对已设计好的VHDL源代码进行编译,等到代码被编译正确后就会被转换为中间格式,中间数据的结果将会保存在仿真数据库中,一般来说,VHDL源代码在编译好的仿真库就是WORK库。

设计者通过编写TestBeach文件来干预仿真的过程,在本文的设计中,计时通过编写不同的TestBeach文件来对不同的模块代码进行仿真测试。

3系统总体方案

3.1设计要求

●本文设计的售货机系统中只有一种,价格为13元;

●可以投入三种不同的货币来购买商品,分别是1元、5元、10元;

●每次只允许购买一个商品,若想要购买多个商品,则需要重复执行购买操作;

●售货机的显示器会实时更新显示总共投入的货币的总额;

●当投入货币后,如果不想购买商品了则可以通过按取消键来退还投入的货币,否则就按确定键来获取商品;

●设置两个指示灯,分别是购买指示灯和取消指示灯,当顾客按下确定键后购买指示灯亮起;若顾客按下取消键,则取消指示灯亮起;

3.2总体设计

通过分析系统的设计要求以及考虑到系统本身,本文对整个总动售货机系统采用自顶向下的设计方法,自顶向下的设计方法如图所示,采用自顶向下的设计方法的优点是很明显的。

由于系统设计从顶层开始,所以在进行设计时就清楚实现整个系统的性能状况。

随着设计向下一级进行,系统的性能就能进一步得到细化和表达,并且可以根据个人需要随时修改和调整,缩短了设计周期。

对整个系统的顶层文件进行如下端口设计:

●输入端口:

clk,输入时钟信号;rst,复位信号;C1,投入一张1元货币;C5,投入一张5元货币;C10,投入一张10元货币;ok_in,确认信号;cancel_in,取消信号。

●输出端口:

led_buy,确认购买指示灯;led_cancel,取消购买指示灯;en_deliver,出货信号;led1,投入货币总数的个位数(单位是元);led10,投入货币总数的十位数(单位是元)。

●内部可读输出端口:

RC1,1元退币控制信号;RC5,5元退币控制信号;RC10,10元退币控制信号。

端口原理图如图所示:

在确定了整个系统的输入输出端口后,给出自动售货机的系统内部的工作原理:

当系统接收到复位信号后,其他所有的控制信号和标识信号都清空为零,系统处于等待指令的状态。

当系统接收到相关信号输入时,系统开始工作。

顾客确定购买商品时,开始投入货币,当投入货币为1元、5元、10元时,将分别产生一个时钟宽度的信号C1、C5、C10。

所投入的货币总额会实时计算并通过显示器显示出来。

当顾客确定购买商品并按下确认键的时候,自动售货机会产生一个时钟信号ok_in,而且由led_buy控制的代表确认购买的指示灯会闪烁。

如果投入货币的总额大于商品价格时,则送出商品并计算出余额操作退出余额,此时出货指示灯闪烁。

如果投入的货币不足以支付商品价格,自动售货机会退还所有货币。

当顾客投入货币又不想购买商品时,就可以通过按下取消键来取消购买,这时系统会产生一个时钟信号cancel_in,同时通过找零控制模块来执行相应操作退还货币。

如果确认键或者取消键被按下后,自动售货机会产生一个lock_out_entry内部信号,当发出信号后,所有的操作都是无效的。

当完成所有的操作后,将产生一个clear清除信号,此时所有的信号都会被清除,自动售货机开始等待下一次操作。

整个系统的投币购物流程如图所示:

将整个系统划分成六个模块,分别是购买余额计算模块、找零控制模块、确认取消模块、总额加和模块、锁定模块和显示模块。

各模块的功能:

余额计算模块:

当顾客确认购买商品并按下了售货机的确认键后,找零模块就开始计算出应该退还的余额。

找零控制模块:

在顾客确定购买商品后执行找零操作,或者是在顾客取消购买后执行退还原款操作。

确认取消模块:

顾客在选择购买商品或者取消购买商品后,将输入的确认信号或者取消信号分别转换成单时钟周期的控制信号,并且控制相对应的信号灯的亮和不亮。

总额加和模块:

不断的更新并计算售货机收到的总货币数量。

锁定模块:

可以按下确认键或者取消键,当其中任意一个键被按下后,整个自动售货机系统的按键的输入都是无效的。

显示模块:

通过控制两个显示器(led1和led10)来显示所投入货币的总额。

整个自动售货机的内部工作过程的总体框图如图所示:

对每一个子模块进行VHDL设计,然后对其进行编译,再编译没有错误后,通过编写TestBeach文件来对其进行仿真测试来验证每一个模块的功能是否正确。

在顶层文件的vhdl程序设计中,通过特定的例化语句将已经设计好的6个子模块进行例化,然后直接对各个模块进行调用,整个顶层程序的设计就是通过调用底层的6个模块来实现的,完成顶层设计后再对整个系统进行仿真测试。

 

4软件设计及仿真测试

4.1确认取消模块(ok_cancel模块)

4.1.1设计思想及工作原理

在确认取消模块中,顾客可以在投币之后选择继续购买商品或者取消购买商品,若确定购买则会产生一个确认信号,而该模块可以把该信号转换成单时钟的控制信号,同时确定购买led_buy显示灯会亮;同样,若顾客按下取消健,则该模块会将输入的取消信号转换单时钟周期的控制信号,同时确定购买cancel_buy显示灯会变亮。

确认取消模块有以下输入端口:

●输入端口:

clk,输入时钟信号;rst,复位信号;clear,清除信号;lock_out_entry,锁定信号;ok_in,确认信号;cancel_in,取消信号。

●输出端口:

ok,内部时钟确认控制信号;cancel,内部时钟取消控制信号;led_buy,确认购买指示灯控制信号;led_cancel,取消购买指示灯控制信号。

电路原理图如图所示:

该模块的工作过程如下:

首先系统复位,等待确认购买信号或者取消购买信号的输入,当收到信号后,系统会根据接收到的信号立即生成一个相对应的内部控制信号ok信号或者cancel信号。

同时,指示灯会根据接收到的控制信号led_buy或者led_cancel来显示灯的亮和灭。

此过程中,当系统第一次接收到确认购买信号或者取消信号后,将会岸上产生一个锁定信号lock_out_entry,当接收到此信号后,整个系统的所有操作都会无效,直到系统执行完毕,模块接收到清零信号后,所有信号都会被清空归零。

由QuqrtusⅡ软件内部生成的RTL电路图如图所示:

4.1.2仿真测试

对确认取消模块进行仿真测试后,得到如图所示的仿真波形图:

对所得到的仿真波形图进行分析:

在15ns前,系统复位;在30ns的时候,系统接收到一个确认购买的信号,此时会生成ok信号,即一个时钟的内部控制信号,同一时间,会发出一个控制信号led_buy,以此来控制购买指示灯亮。

在时间为31ns时,可以看到系统发出了一个锁定信号lock_out_entry,当系统接收到该信号后,其他所有的按键操作都将被视为无效,所以在40ns时输入的cancel信号不会被响应;在60ns时操作执行完毕,系统接收到一个clear的控制信号,此时锁定信号归零,同时led_buy控制信号归零。

4.2找零控制模块(refund模块)

4.2.1设计思想及工作原理

找零控制模块有以下输入端口:

●输入端口:

clk,输入时钟信号;rst,复位信号;clear,清除信号;ok,接收到确认信号;cancel,接收到取消信号;sum1,表示接收到的投入1元货币的信号;sum_5,接收到的投入5元货币的信号;sum10,表示接收到的投入10元货币的

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 初中教育 > 中考

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1