毕业设计97交通灯控制器的设计.docx

上传人:b****2 文档编号:24558563 上传时间:2023-05-28 格式:DOCX 页数:14 大小:87.63KB
下载 相关 举报
毕业设计97交通灯控制器的设计.docx_第1页
第1页 / 共14页
毕业设计97交通灯控制器的设计.docx_第2页
第2页 / 共14页
毕业设计97交通灯控制器的设计.docx_第3页
第3页 / 共14页
毕业设计97交通灯控制器的设计.docx_第4页
第4页 / 共14页
毕业设计97交通灯控制器的设计.docx_第5页
第5页 / 共14页
点击查看更多>>
下载资源
资源描述

毕业设计97交通灯控制器的设计.docx

《毕业设计97交通灯控制器的设计.docx》由会员分享,可在线阅读,更多相关《毕业设计97交通灯控制器的设计.docx(14页珍藏版)》请在冰豆网上搜索。

毕业设计97交通灯控制器的设计.docx

毕业设计97交通灯控制器的设计

上饶职业技术学院

电子工程系

 

课程设计

 

课程名称:

综合技能训练模块

题目名称:

交通灯控制器的设计

年级:

06级________学生专业:

应用电子技术

学生学号:

ZD06010031/27指导教师:

吴国辉

学生姓名:

周凯柳杨技术职称:

高级工程师

2007年8月25日制

课程设计任务书

1课程名称综合技能训练模块

2课程性质必修

3适用年级06级

4适用专业应用电子技术

5设计题目交通灯控制器的设计

6实验要求选开

7选题要求最多组数2组每组最多人数2人

8指导老师吴国辉职称高级工程师

9设计目的

10设计要求

10.1,设计一个十字路口的红,绿,黄三色信号交通灯控制电路。

10.2,红灯与绿灯转换时必须经过黄灯,黄灯亮3S。

10.3,红灯,绿灯的开启时间可以设置,10-60s。

10.4,一方向绿亮时,另一方的红灯3S后亮。

10.5,特殊情况下,四个方向的灯用2人控制均为红灯并闪烁。

10.6,采用AC-220V-50Hz电源.(选做实验)。

11课程设计的进度安排

 

12参考书目

黄永定电子线路实验与课程设计北京机械工业出版社2005

肖景和数字集成电路原理与应用北京人民邮电出版社2007

肖景和COMS数字电路应用300例北京中国电力出版社2006

13任务书下达时间□□□□年□□月□□日

课程设计评分标准(必开实验)

姓名:

周凯柳杨年级:

06级专业:

应用电子技术班级1班总分:

考核项目

平时成绩

实验成绩

设计报告

总成绩

考核内容

态度、纪律(10%)

分析解决问题的能力(50%)

设计报告书写和水平(40%)

考核环节

团结协作有钻研精神

爱护公物文明卫生

遵守纪律和制度

独立、熟练、综合应用所学知识分析解决问题的能力,有实验数据,又有理论分析。

摘要符合要求、语句通顺、内容充实、图表和曲线清晰符合规范、文字规范。

方案选择、论证、设计、计算正确。

分值

3

3

4

50

40

100

评分

评分教师:

评分时间:

年月日

 

课程设计评分标准(选开实验)

姓名:

年级:

级专业:

班级:

班总分:

考核项目

平时成绩

设计报告

总成绩

考核内容

态度、纪律(20%)

设计报告书写和水平(80%)

考核环节

团结协作有钻研精神

爱护公物文明卫生

遵守纪律和制度

摘要符合要求、语句通顺、内容充实、图表和曲线清晰符合规范、文字规范。

方案选择、论证、设计、计算正确。

分值

6

6

8

80

100

评分

评分教师:

评分时间:

年月日

 

课程设计报告

年级06级专业应用电子技术班级1班姓名周凯

同组人姓名柳杨

指导老师吴国辉职称高级工程师

课程名称综合技能训练模块

课程性质必修

设计项目交通灯控制器的设计

实验要求选开

1设计目的

1.1□□□□□□□□□□□□□

1.2□□□□□□□□□□□□□

2设计要求

2.1,设计一个十字路口的红,绿,黄三色信号交通灯控制电路。

2.2,红灯与绿灯转换时必须经过黄灯,黄灯亮3S。

2.3,红灯,绿灯的开启时间可以设置,10-60s。

2.4,一方向绿亮时,另一方的红灯3S后亮。

2.5,特殊情况下,四个方向的灯用2人控制均为红灯并闪烁。

2.6,采用AC-220V-50Hz电源.(选做实验)。

3课程设计的进度安排

3.1□□□□□□□□□□□□□

3.2□□□□□□□□□□□□□

4设计原理及结果

摘要:

EDA(ElectronicDesignAutomation)即电子设计自动化,它是近几年来迅速发展起来的将计算机软件、硬件、微电子技术交叉运用的现代电子学科,是20世纪90年代初从CAD(计算机辅助设计)、CAM(计算机辅助制造)、CAT(计算机辅助测试)和CAE(计算机辅助工程)的概念发展而来的。

EDA技术是现代电子设计技术的核心。

EDA技术就是依靠功能强大的电子计算机,在EDA工具软件平台上,对以硬件描述语言HDL(HardwareDescriptionLanguage)为系统逻辑描述手段完成的设计文件,自动地完成逻辑编译、化简、分割、综合、优化和仿真,直至下载到可编程逻辑器件CPLD/FPGA或专用集成电路ASIC(ApplicationSpecificIntegratedCircuit)芯片中,实现既定的电子电路设计功能。

EDA技术使得电子电路设计者的工作仅限于利用硬件描述语言和EDA软件平台来完成对系统硬件功能的实现,极大地提高了设计效率,缩短了设计周期,节省了设计成本。

本次课程设计就是运用EDA技术,使用VerilogHDL语言编写音乐发生器的程序,借助quartus软件对程序进行仿真,最终达到设计要求。

一、设计原理

1、设计目的:

学习DEA开发软件和Quartus

的使用方法,熟悉可编程逻辑器件的使用。

通过制作来了解交通灯控制系统,交通灯控制系统主要是实现城市十字交叉路口红绿灯的控制。

在现代化的大城市中,十字交叉路口越来越多,在每个交叉路口都需要使用红绿灯进行交通指挥和管理,红、黄、绿灯的转换要有一个准确的时间间隔和转换顺序,这就需要有一个安全、自动的系统对红、黄、绿灯的转换进行管理,本系统就是基于此目的而开发的。

2、系统设计

城市十字交叉路口红绿灯控制系统主要负责控制东西走向和南北走向的红绿灯的状态和转换顺序,关键是各个状态之间的转换和进行适当的时间延时,正是基于以上考虑,采用如下设计:

(1)当东西走向的绿灯亮时,南北走向的红灯亮,并保持3个clock。

(2)当东西走向的绿、黄灯亮时,南北走向的红灯亮,并保持1个clock。

(3)当东西走向的红灯亮时,南北走向的绿灯亮,并保持3个clock。

(4)当东西走向的红灯亮时,南北走向的绿、黄灯亮,并保持1个clock。

(5)最后又回到

(1)状态,并周期重复进行。

基于以上设计,在表1中给出了交通灯控制器的4种状态,对应的状态迁移过程见表

(1)和图

(1)。

东西走向

南北走向

状态0

红、黄、绿 001

红、黄、绿 100

状态1

红、黄、绿 011

红、黄、绿 100

状态2

红、黄、绿 100

红、黄、绿 001

状态3

红、黄、绿 100

红、黄、绿 011

(1)交通灯控制器的4种状态

(1)

3、电路组成

交通灯的电路由下载电路及外围电路组成,所用到的器件有EMP7064SLC44芯片、钟振、发光二极管、电阻和导线。

EDA流程图如下所示:

(2)交通灯EDA流程图

二、制作过程

1、编程

a)、程序的编辑:

首先在QuartusⅡ中建立一个工程项目文件beef.qpf,并在该项目下新建VerilogHDL源程序文件beef.v输入程序代码并保存。

完整的VerilogHDL程序参考如下:

modulejiaotong(sclk,rst,red1,green1,yellow1,red2,green2,yellow2);

inputsclk,rst;

outputred1,green1,yellow1,red2,green2,yellow2;

wireline;

fenpin(.tclk(slck),.clk1hz(line));

df(.clk(line),.reset(rst),.red1(red1),.green1(green1),.yellow1(yellow1),.red2(red2),.green2(green2),.yellow2(yellow2));

endmodule

分频模块

modulefenpin(tclk,clk1hz);

inputtclk;

outputclk1hz;

reg[23:

0]data;

always@(posedgetclk)

begin

data<=24'd0;

if(data==24'b101101110001101100000000)

data<=24'd0;

else

data<=data+1;

end

assignclk1hz=(data==24'b101101110001101100000000)?

1'b1:

1'b0;

endmodule

//LED输出显示

moduledf(clk,reset,red1,green1,yellow1,red2,green2,yellow2);

inputclk,reset;

outputred1,green1,yellow1,red2,green2,yellow2;

parameterstd0=0,std1=1,std2=2,std3=3,std4=4,std5=5,std6=6,std7=7;//声明状态变量

reg[2:

0]state,nxstate;//声明状态寄存器

regred1,green1,yellow1,red2,green2,yellow2;

always@(posedgeclkorposedgereset)//时钟信号上跳沿或复位信号上跳沿触发工作

begin

if(reset)//复位信号reset高电平有效

state=std0;

else

state=nxstate;//基于当前状态和输入,以及进入下一状态和输出

end

always@(state)

begin

red1=1'b0;green1=1'b0;yellow1=1'b0;red2=1'b0;green2=1'b0;yellow2=1'b0;//定义输出变量初始值

case(state)

std0:

begin

green1=1'b1;

red2=1'b1;

nxstate=std1;

end

std1:

begin

green1=1'b1;

red2=1'b1;

nxstate=std2;

end

std2:

begin

green1=1'b1;

red2=1'b1;

nxstate=std3;

end

/*当东西走向的绿灯亮时,南北走向的红灯亮,并且保持三个clk*/

std3:

begin

green1=1'b1;

yellow1=1'b1;

red2=1'b1;

nxstate=std4;

end

/*当东西走向的绿黄灯亮时,南北走向的红灯亮,并且保持一个clk*/

std4:

begin

red1=1'b1;

green2=1'b1;

nxstate=std5;

end

std5:

begin

red1=1'b1;

green2=1'b1;

nxstate=std6;

end

std6:

begin

red1=1'b1;

green2=1'b1;

nxstate=std7;

end

/*当东西走向的红灯亮时,南北走向的绿灯亮,并且保持三个clk*/

std7:

begin

red1=1'b1;

green2=1'b1;

yellow2=1'b1;

nxstate=std0;//又重新回到初始状态

end

/*当东西走向的红灯亮时,南北走向的绿黄灯亮,并且保持一个clk*/

endcase

end

endmodule

b)、管脚设置:

接下来就要选择目标器件并对相应的引脚进行锁定了,我们选择的器件为Altera公司的MAX7000S系列的EPM7064SLC44-10芯片,引脚锁定方法如下图所示。

将未使用的管脚设置为三态输入(一定要设置,否则可能会损坏芯片)。

图(3)管脚设置

C)、仿真:

对该工程文件进行全程编译处理,若在编译过程中发现错误,则应该立即找出并更正错误,直到编译成功为止。

QuartusⅡ仿真过程主要步骤如下:

先建立一个VWF波形文件,添加输入控制:

clk、reset、red1、red2、green1、green2、yellow1、yellow2:

图(4)波形图

 

然后单击startsimulation开始仿真

图(5)仿真输出图

2、电路板制作:

程序调试完毕之后,我们就要开始电路板的制作了。

首先用Protel99SE按照设计要求画出电路原理图。

图(6)交通灯原理图

3、调试与检验:

电路板制作完成后,剩下的就是调试电路了。

拿出AlteraByteBlaster下载电缆,并将此电缆的两端分别接到PC机的打印并口和电路板下载端口上,打开电源,执行下载命令,把程序下载到FPGA器件中,就可以观察到发光二极管会按照程序闪亮。

4.设计制作过程分析

刚开始我不会使用Quartus软件,在老师的指导及自己查阅了一些关于这个软件的使用方法后,掌握了这个软件的基本使用方法,并顺利的对程序进行编辑及仿真。

焊接电路板的时候,因为电烙铁和自己的焊接水平的问题,使得焊的电路有虚焊或短路的可能,在调试检测时发现下载电路不能下载程序,经过修改焊点、整理导线等各种努力之后总算实现了交通灯的基本功能。

5.本次课程设计的心得体会

通过这次的课程设计,我又学会了一种软件的使用,既使用Quartus软件进行程序的编辑和仿真。

为实现预期功能,能够对系统进行快速的调试,并能够对出现的功能故障进行分析,及时修改相关软硬件。

对软件编程、排错调试、焊接技术、相关仪器设备的使用技能等方面得到较全面的锻炼和提高。

了解了关于EDA技术及VerilogHDL语言的基本编程形式,为毕业后找工作又添加了一项有利条件。

在此之后,我会继续学习关于VerilogHDL语言的运用。

致谢词

6参考书目

6.1黄永定电子线路实验与课程设计北京机械工业出版社2005

6.2肖景和数字集成电路原理与应用北京人民邮电出版社2007

6.3肖景和COMS数字电路应用300例北京中国电力出版社2006

7完成时间□□□□年□□月□□日

 

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 法律文书 > 调解书

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1