基于fpga的嵌入式系统设计复习题.docx

上传人:b****2 文档编号:2313974 上传时间:2022-10-28 格式:DOCX 页数:13 大小:44.96KB
下载 相关 举报
基于fpga的嵌入式系统设计复习题.docx_第1页
第1页 / 共13页
基于fpga的嵌入式系统设计复习题.docx_第2页
第2页 / 共13页
基于fpga的嵌入式系统设计复习题.docx_第3页
第3页 / 共13页
基于fpga的嵌入式系统设计复习题.docx_第4页
第4页 / 共13页
基于fpga的嵌入式系统设计复习题.docx_第5页
第5页 / 共13页
点击查看更多>>
下载资源
资源描述

基于fpga的嵌入式系统设计复习题.docx

《基于fpga的嵌入式系统设计复习题.docx》由会员分享,可在线阅读,更多相关《基于fpga的嵌入式系统设计复习题.docx(13页珍藏版)》请在冰豆网上搜索。

基于fpga的嵌入式系统设计复习题.docx

基于fpga的嵌入式系统设计复习题

《基于fpga的嵌入式系统设计》复习题

1、名词概念解释:

(1)ASIC,FPGA,SOC,SOPC,NIOSII,I/O ,IP;

(2)VHDL,verilogHDL,HDL,EDA;

(3)功能仿真,后仿真,设计综合,设计验证;

(4)嵌入式系统的定义:

以应用为中心、以计算机技术为基础、软硬件可裁剪、适应应用系统对功能、可靠性、成本、体积、功耗等严格要求的专用计算机系统。

(5)嵌入式系统的组成:

嵌入式系统主要由嵌入式处理器、外围设备、嵌入式操作系统及应用软件等组成,它是集软硬件于一体的可独立工作的“器件”。

其中:

嵌入式处理器是嵌入式系统的核心部件,具有小型化、高效率、高可靠性、高集成度等特点。

外围设备是嵌入式系统中用于完成存储、通信、调试、显示等辅助功能的部件。

 

2、填空题

(1)NiosII处理器有三种运行模式:

___________,___________,_____________。

(2)CycloneIIFPGA支持串行配置器件的isp编程,该特性是通过_____________利用JTAG接口实现的。

(3)在SOPCBuilder中,复位地址的偏移量是________,异常地址的偏移量是________。

(4)在NiosII的多处理器系统中,最常用的共享资源是____________。

(5)根据Flash是否支持处理器的直接读操作,NiosII处理的bootloader分成两种模式:

________________bootloader、________________bootloader。

(6)用uC/OS-II操作系统实现以太网与轻量IP功能的时候,以太网的中断号至少是____________。

(7)Altera公司的FPGA常用的配置方式:

 JTAG方式、___________、___________。

 

(8)CycloneII FPGA上面集成的Block RAM为M4K,一个M4K的大小是________。

(9) 使用QuartusII进行FPGA设计的开发流程是:

设计输入、__________、___________、仿真、_________ 。

 

(10)NiosII IDE为软件开发提供了4个主要功能:

工程管理器、编辑器和编译器、调试器、____________ 。

(11)SOPC组件On-chip Memory可以用作RAM外,还可以设置成___________,甚至可以设置成双口存取。

(12)CycloneII EP2C35器件包含4个PLL,每个PLL均有_________个输出。

 其中第_________个输出的驱动能力最强。

(13)基于微电子设计的电路通常包含有:

组合逻辑电路和_________逻辑电路。

(14)基于微电子设计的电路通常包含有:

__________电路和时序逻辑电路。

(15)SOC是__________的缩写,EDA是__________的缩写。

(16)电子系统设计主要有_____________和硬件描述语言设计方式,前者比较直观,形象,但通用可移植性弱;后者利用文本的形式描述和设计电路,常用的两种硬件描述语言是__________和__________。

(17)现在集成电路设计主要采用硬件描述语言来描述自己的设计,然后利用EDA工具进行仿真和综合,转换成某种目标文件,然后利用ASIC实现。

请问综合的主要作用是__________________________________________________________。

(18)在基于EDA设计的技术中,有两种基本设计思路,一种是自顶向下的设计思路,一种是__________的设计思路。

(19)在过去的几十年中,数字电路设计技术发展迅速,经历了__________、__________,__________,__________,直到今天的SOC,我们可以把一个完整的系统集成在一个芯片上。

(20)设计仿真具体分为__________和__________,前者对电路的RTL模型的仿真,不考虑信号的时延关系,而后者是对综合或布局布线的网表进行的仿真,考虑信号的时延关系。

 

3、选择题

(1)基于FPGA的嵌入式系统,下面说法正确的是()

A.只有运行NiosII软核的FPGA系统才是嵌入式系统

B.基于FPGA的嵌入式系统是在FPGA中运行可配置的软核

C.基于FPGA的嵌入式系统主要特点是运行速度比其他嵌入式系统要快

D.基于FPGA的嵌入式系统是FPGA最主要的应用方向

(2)对于FPGA芯片来讲,下列说法错误的是:

( ) 

A.FPGA是现场可编程逻辑器件的缩写    

B.FPGA的内部可以集成DSP、PowerPC等模块 

C.FPGA是非易失性器件          

D.FPGA的内部逻辑可以反复修改 

(3)下列不属于FPGA片内资源的是哪个?

(   )

A. PLL(锁相环) B. LUT(查找表) 

C. NiosII软核处理器             D. DSP处理模块

(4) 下列关于SOPC的说法正确的是:

(   )

A. SOPC系统可以对其结构进行修改,即可以说SOPC是永不过时的嵌入式系统。

 

B. NiosII是一种软核处理器,故可以任意修改其内部结构。

 

C. NiosII可以脱离FPGA芯片单独运行。

 

D. SOPC系统具有体积小、快速灵活、低功耗等优点。

(5) 下列可综合的Verilog HDL语句是:

(   ) 

A. !

==                   B. task 

C. initial                  D. #delay 

(6)下列Verilog HDL表达式中正确的是:

(   ) 

A. 4’b001 << 1  =  5’b00010  ; 

B. !

4’b1011 || !

 4’b0000  =  1’b1  

C. 4’b1010 & 4’b1101 =  1’b1 ;

 D. 4’b1011 && 4’b0100  = 4’b1111 ; 

(7)下列选项中哪个不是嵌入式系统软硬件划分的原则。

(   ) 

A. 系统优化原则

B. 资源利用率原则 

C. 性能原则

D. 性价比原则 

(8)NiosII的系统中SDRAM的IP核时钟与系统全局时钟相差多少度?

(   ) 

A. -60度                   B. -50度 C. -70度                    D. -90度 

(9)下列描述可以在FPGA中稳定运行的是:

(   ) 

A. 状态机编码中采用二进制编码方式    

B. 在时钟上升沿到来时 A的值由“1001”变为“0110” 

C. 大量采用异步电路设计        

D. 采用时钟的正负沿调整采样 

(10)下列不属于FPGA应用范围的是()

A.信号处理B.智能应用

C.手持PDAD.超大屏幕显示

(11)下列关于软核处理器的说法,正确的是()

A.软核处理器执行VHDL编写的程序

B.软核处理器是集成在FPGA中的模块

C.NiosII、Microblaze、PowerPC、MIPS都属于软核处理器的范畴

D.NiosII软核可以修改它的指令和外设

(12)下列说法正确的是()

A.IP核可以挂载到不同的总线上

B.系统中的IP核不支持VeriogHDL和VHDL混合编写

C.同一个IP核在不同的FPGA中具有相同的性能

D.嵌入式软核处理器并不属于IP核的范畴

(13)关于SOPC的说法,错误的是()

A.SOPC的系统中至少包含一个NiosII软核

B.SOPC技术包含了嵌入式设计的全部,除了硬件PCB外,还包括处理器和实时多任务操作系统(RTOS)

C.SOPC可以体现软硬件协同设计技术

D.如果FPGA中集成了硬核处理器,无论是否使用,系统都属于SOPC系统

(14)下列不属于FPGA片内资源的是()

A.高速串行收发器B.PLL(数字锁相环)

C.RAMD.FIFO(先进先出)

(15)下面哪项不属于NiosII软核的可定制性()

A.可以修改基于NiosII的IP核B.提高或降低工作频率

C.增加或取消MMU(内存管理单元)D.自定义NiosII指令

(16)关于FPGA的配置问题下列说法的正确的是()

A.EPCS16的容量是16Mb

B.FPGA仅支持EPCS配置

C.FPGA的配置优先级最高的是EPCS

D.EPCS配置FPGA属于PS(被动)方式

(17)关于NiosII软核启动过程,下列说法错误的是()

A.NiosII软核的启动过程主要分为FPGA器件的配置和NiosII程序的加载

B.CFIFlash可以保存FPGA的配置文件、NiosII程序和其他文件数据

C.FPGA配置文件(.sof)和NiosII程序(.elf)都可以保存在EPCS中

D.使用CFIFlash做为NiosII启动的器件时,FPGA把配置数据从CFIFlash读出并加载,然后执行Bootloader把保存的NiosII程序复制SDRAM执行

(18)下列可以在FPGA中稳定运行的是()

A.在设计中同时存在大量同步和异步设计

B.状态机编码采用二进制码和独热码混合形式

C.使用很多已经验证好的IP核,但没有做整体的仿真

D.有的模块采用时钟上升沿,有的模块采用时钟下降沿

(19)下列哪项不是PLL锁相环的功能:

(   ) 

A. PLL可以优化时钟,故有效降低FPGA芯片的功耗。

 

B. PLL核是集成在FPGA内的硬IP核,故无论使用与否PLL都存在在FPGA中。

C. 使用PLL可以有效减少时钟偏斜的现象 

D. PLL可以调整时钟的频率,占空比,相位等

(20)下列关于存储器的说法错误的是:

(   ) 

A. Nor flash的的特点是写入数据慢读出数据快。

多用于存储指令。

 

B. 所有的Flash存储器都存在“位交换”,故必须使用EDC/ECC算法以确保稳定性 

C. Sram是静态随机存储器,一般读写速度很快但容量较小。

 

D. DDR是在SDRAM的基础上提高一倍时钟。

 

(21)在FPGA设计中不属于软件硬件协同设计的是()

A.C2H(CtoHardware)硬件加速编译器

B.难于用软件实现的部分功能用硬件实现

C.在C程序中使用自定义外设的函数

D.根据顶层设计要求,合理划分软硬件结构

(22)下列关于Verilog HDL模块连接正确的是:

(   ) 

Module1  Module2(   .a (code1 ) ,  .clk (clk) ,  .rst (rst ) ,  .b ( k1 )    )   

A. a是顶层模块,code1是底层模块。

 

B. b是顶层模块,k1是底层模块。

C. Module1是底层模块,Module2是顶层模块。

D. Module2的端口可以用reg类型定义

(23)下列不属于软核处理器的是:

(  )

A.Leon3                            B. OpenRisc1200 

C. Microblaze                       D. MIPS 

(24)关于SystemC和System

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 人文社科 > 法律资料

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1