FPGA实验报告北航电气技术实验Word文档下载推荐.docx

上传人:b****8 文档编号:22494837 上传时间:2023-02-04 格式:DOCX 页数:26 大小:270.32KB
下载 相关 举报
FPGA实验报告北航电气技术实验Word文档下载推荐.docx_第1页
第1页 / 共26页
FPGA实验报告北航电气技术实验Word文档下载推荐.docx_第2页
第2页 / 共26页
FPGA实验报告北航电气技术实验Word文档下载推荐.docx_第3页
第3页 / 共26页
FPGA实验报告北航电气技术实验Word文档下载推荐.docx_第4页
第4页 / 共26页
FPGA实验报告北航电气技术实验Word文档下载推荐.docx_第5页
第5页 / 共26页
点击查看更多>>
下载资源
资源描述

FPGA实验报告北航电气技术实验Word文档下载推荐.docx

《FPGA实验报告北航电气技术实验Word文档下载推荐.docx》由会员分享,可在线阅读,更多相关《FPGA实验报告北航电气技术实验Word文档下载推荐.docx(26页珍藏版)》请在冰豆网上搜索。

FPGA实验报告北航电气技术实验Word文档下载推荐.docx

(3)参照指导书进行波形仿真与管脚绑定等操作,链接实验箱并生成下载文件

(4)将文件下载至实验箱运行,观察计数器工作现象,调试拨动开关查看是否清零。

可以通过改变与PIN_P20(工程中绑定为clk输入的I/O接口)相连导线的另一端所选择的实验箱频率时钟的输出口位置,改变LED灯显示变化频率。

并且对照指导书上对实验箱自带时钟频率的介绍,可以通过改变导线接口转换输入快慢,排查由于clk输入管脚损坏而可能引起的故障。

2一位半加器

(2)图形源文件编辑:

由于实验箱上LED指示灯的显示性质为“高电平灭,低电平亮”,为实现预期显示效果应将原电路图中两个输出管脚与非门串联以实现原参考输出“高电平亮,低电平灭”。

(4)将文件下载至实验箱运行,观察半加器工作现象,调试拨动开关查看进位与置数指示是否正常工作。

五、实验现象

1.四位二进制加法计数器

SW1下拨为0时,四个LED指示灯可以对输入脉冲从”0000”到”1111”进行十六位循环计数(其中亮为1,灭为0)。

SW1上拨为1时可以实现四个LED灯保持全灭清零。

且四位二进制加法计数器功能成功实现

2.一位半加器

SW1与SW2输入分别为”00”、”01”、”10”、”11”时,进位指示与置数指示灯分别显示”00”、”01”、”01”、”10”(其中亮为1,灭为0)。

且一位半加器功能成功实现。

六、实验代码

1四位二进制加法计数器(已修改)

entitycount4is

port(

clk:

instd_logic;

--waishizhong,fpga_ex2_6

rst:

--F1pin-ab15

q:

outstd_logic_vector(3downto0));

--led4-1

end;

architectureb1ofcount4is

signalq1:

std_logic_vector(3downto0);

begin

process(clk,rst)

if(rst='

0'

)then

if(clk'

eventandclk='

1'

)thenq1<

=q1-1;

endif;

elseq1<

="

1111"

;

endprocess;

q<

=q1;

end

七、结果分析

1若在加载运行文件后实验箱上无反应,可以通过更换不同频率输入管脚查看是否为实验箱自带时钟的故障。

2实验箱上LED显示灯与设计逻辑相反时可以通过修改程序逻辑或给电路图添加非门实现正逻辑显示。

实验二16×

16LED点阵四字循环显示

1、掌握VHDL编程技巧和各种输入输出显示方法。

2、学习并分析指导书中实例,从中发现感兴趣的题目,并以此自设计一个有内容,功能稍复杂的主、子程序综合应用例程,实现调试与验证。

3、实现LED点阵“高山仰止”四字清晰循环显示。

.1LED显示原理

16×

16扫描LED点阵的工作原理同8位扫描数码管类似,其结构示意图(图1)与等效电路图(图2)如下。

它有16个共阴极输出端口,每个共阴极对应有16个LED显示灯,所以其扫描译码地址需4位信号线(管脚对应COL1-COL4),从右起为第一列且COL1-4对应”0000”。

其汉字扫描码由16位段地址(从下至上管脚对应ROW1-ROW16)输入。

本设计选用的LED列扫描,汉字信号行输入的方式,显示完整汉字。

图116×

16LED点阵图216×

16点阵LED等效电路

列循环扫描,通过对每一列的扫描来完成对字母的现实,本设计为使列扫描符合视觉暂留要求,扫描频率至少大于16×

8=128Hz,周期小于7.8ms,以此给人以连续的感觉。

2汉字的信息储存

用动态分时扫描技术使LED点阵模块显示图像,需要进行两步工作。

第一步是在程序中建立汉字数据库。

第二步是在扫描模块的控制下,配合列扫描的次序正确地输出这些数据。

获得图像数据的步骤是,先将要显示的每一幅图像画在一个如(图3)所示的被分成16×

16共256个小方格的矩形框中,再在有笔划下落处的小方格里填上“1”,无笔划处填上“0”,这样就形成了与这个汉字所对应的二进制数据在该矩形框上的分布,汉字取模可由专用软件进行。

图316*16点阵显示模块

由于本实验箱为从右至左依次对应”0000”列到”1111”列,从下至上为最高位到最低位,于平时习惯的认字方向相反,所以注意在逐列扫描的设计中要对字取“反模”。

例如要在右边起第2列的从上到下数2、4、6、8行亮,则列编号为”0001”、行输入为”0000000010101010”就可以实现了。

3延时环节

为使汉字不断地循环显示,并且使每个汉子显示后停留,就需要在中间加一定的延时和循环环节。

在这一环节中,可以通过修改每个显示和停留周期包含的总时钟脉冲数值来控制每个字的显示时间,运用状态机设计方法给四个汉字对应的不同输入状态进行编码,即可使汉字依次清晰显示。

1可编程逻辑实验箱EP3C55F484C8一台(包含16×

16LED点阵一组等)

1建立工程,命名为ledgrq1616。

建立VHDL程序文件命名为1ed1616grq.vhd。

2按照第六部分原程序输入代码,并按所示表格绑定管脚。

编写程序及绑定管脚时时注意第二部分中所叙述的扫描显示顺序以及对应取反字模的方法。

3编译无误后开启实验箱,生成.sof传输文件并下载至实验箱,确保运行模式为1,且CPRL_SW拨码开关为”00XX”。

4观察实验箱上现象,通过改接不同频率的脉冲输入管脚或改变每个字符停留周期包含的总脉冲数来保证有足够快的扫描频率与大约每个字大约一秒多的充足停留时间,并查看是否有“高山仰止”四字依次有停顿的清晰的循环显示。

当时钟输入线接FRQH_Q2(3000000Hz)管脚时,设定每个周期为5000000个脉冲时,实现“高山仰止”在16×

16LED点阵上清晰循环显示。

libraryieee;

useieee.std_logic_1164.all;

useieee.std_logic_unsigned.all;

entityled1616grqisport(clk:

instd_logic;

--时钟

data:

outstd_logic_vector(15downto0);

--行输入

addrs:

outstd_logic_vector(3downto0));

--列地址

endled1616grq;

architecturechw_arcofled1616grqis

signalfenpin:

std_logic_vector(1downto0);

--分频信号

signalad:

--地址中转信号

begin

process(clk)--产生一个大约1s的分频信号模块

variablecnt:

integer:

=0;

variabletmp:

begin

ifclk'

then

ifcnt<

5000000then

cnt:

=cnt+1;

else

iftmp="

11"

tmp:

00"

else

=tmp+1;

endif;

endif;

fenpin<

=tmp;

process(clk)--送16位地址程序

variablejs:

variablecnt:

begin

ifclk'

ifjs<

10000then

js:

=js+1;

else

ifcnt="

cnt:

0000"

elsecnt:

endif;

endif;

ad<

=cnt;

process(fenpin,ad)

casefenpinis

--besuretogettheoppositemodeloftheword

--andexchangethehight8bittothelow8bit

--thenwritebegin1111to0000

when"

=>

caseadis

--”高”的字模

when"

data<

0000000000000000"

--0000

when"

0001"

0000000000000100"

--0400

when"

0010"

1111111000000100"

--04FE

0011"

1000001000000100"

--0482

0100"

0000001000000100"

--0402

0101"

0111101011110100"

--F47A

0110"

0100101010010100"

--944A

0111"

1000"

0100101010010110"

--964A

1001"

0100101010010101"

--954A

1010"

1011"

1100"

1101"

1110"

whenothers=>

null;

endcase;

01"

--0000“山”的字模

--0000

0111111111110000"

--7FF0

0010000000000000"

--2000

0011111111111111"

--3FFF

0011111111110000"

--3FF0

10"

caseadis

--0000“仰”的字模

0000011111111100"

--07FC

0000100000000010"

--0804

0000001000000010"

--0404

1111111111001111"

--FFCF

0000010000000010"

--0402

0000100000000100"

0001111111001111"

--1FCF

0000000000000111"

--0007

1111111111111000"

--FFF8

0000000001100000"

--0060

0000000010000000"

--0080

0000000100000000"

--0100

--0000"

止"

的字模

"

010*********

0100000001000000"

--4040

0111111111111111"

--7FFF

--7FFF

whenothers=>

endcase;

addrs<

=ad;

endchw_arc;

管脚绑定如下:

设备调试与程序调试一样均为FPGA目标器件功能实现的必须工作,根据加载后实际显示情况,同样可以反推出QuartusII无法直接报错的引脚绑定方面的问题以及程序书写逻辑问题,实验中具体出现的情况如下:

(1)显示为一团不断闪烁的重叠形状

原因:

可能为间隔时间太短

措施:

应增大间隔周期数,延长停顿

(2)字符形状与设计不同/错位

如果为行顺序错位或乱序,但每一列仍为从右至左扫描,可能为ROW1-15管脚绑定错位:

如果为列出现顺序及显示正确但位置错位,可能为CLK1-3管脚绑定错位;

上述两种情况也可同时发生。

将时钟输入频率放缓至可以看清每一列出现的顺序位置及显示内容,与所设计的字模对照,判断是哪几个ROW管脚及CLK错位,重新绑定即可。

(3)实验箱开启后毫无显示

可能是工作模式的拨码开关并非”00XX”,或时钟管脚故障。

选用其他频率的管脚试接;

若排除管脚问题,查看模式显示数码管数值是否为1,如不是,改变工作模式,重新加载文件。

实验三蜂鸣器/扬声器电子音乐演奏

2017.11.15(周三)晚实验编号20

1、熟练掌握QuartusII平台各模块操作及实验箱调试方法。

2、用元件例化语句调用方式,任意自选题设计一个有内容,功能较复杂的主、子程序综合应用的多模块集成例程,并实现调试与验证。

3、实现电子音乐《天空之城》的主旋律循环播放,并通过LED灯指示音符。

.1、电子音符演奏

乐曲硬件电路产生音乐是和音乐频率和音乐的持续时间有关;

音符的持续时间需根据乐曲的速度和每个音符的节拍数来确定。

设计所用简谱及音符和频率的关系如下:

2、演奏节拍控制

该演奏电路演奏的乐曲是《天空之城》,其最小的节拍为1拍,将1拍的时长定位0.25S,则只需要再提供一个4Hz的时钟频率即可产生1拍的时长(5Hz由24MHz的基准频率分频产生),对于占用时间较长的节拍,(一定是节拍的整数倍),如全音符为4拍,2/4音符为2拍,1/4音符为1拍。

3、演奏电路模块

乐曲硬件演奏电路系统主要有音调分频器和乐曲存储模块两个部分组成,其余还有音乐节拍发生器等等。

音调分频器对24MHz(由基准频率产生)的频率进行分频,得到与各个音节对应的频率输出。

乐曲存储模块产生节拍控制和音阶选择信号,即在此模块中写入一个乐曲曲谱真值序列,由一个计数器来控制此序列的输出,而由计数器的计数时钟信号作为乐曲节拍控制信号。

4程序设计思路

实验中采用层次化设计思路,音乐发生器的设计包括四个模块:

时钟分频模块、自动演奏模块、音符显示模块、音调分频模块。

分好层次之后,编写每个模块的程序。

时钟分频模块通过基准时钟频率clk(24MHz)产生两个时钟信号。

自动演奏模块接收4hz的时钟信号,输出音调代码。

显示模块利用音调代码查找并输出对应LED灯显示情况。

同时将音调对应的给8盏LED指示灯,分别显示高中低音符。

音调分频模块接收音调代码对应的分频系数,并据此分频,将对应频率的信号输出给扬声器供其发声。

1可编程逻辑实验箱EP3C55F484C8一台(含蜂鸣器、扬声器、若干LED灯等)

1建立工程,命名为grqbeep01。

建立VerilogHDL程序文件命名为grqbeep01.v。

3编译无误后开启实验箱,将时钟输入管脚P20接Q0(24000000Hz)。

4生成.sof传输文件并下载至实验箱,确保运行模式为3,且CPRL_SW拨码开关为”0110”。

5观察实验箱上现象,确定蜂鸣器与扬声器的器件使用设定方法,对比其效果差异。

1跳线BZ1未调整时

下载完毕,听到完整的由蜂鸣器播放的循环《天空之城》音乐,可是声音很小,LED指示灯显示没有错误。

2跳线BZ1由23调整到12时

下载测试,听到声音洪亮的循环播放的《天空之城》音乐,并且音调很好,数码管显示音符正常,实现预期功能。

`timescale1ns/1ps

modulegrqbeep01(clk,beep,led);

inputclk;

//时钟管脚

outputbeep;

//蜂鸣器管脚

output[7:

0]led;

//led指示管脚

regbeep;

reg[22:

0]i;

regclk_4hz;

reg[7:

reg[16:

0]count,div_num;

reg[6:

0]music;

//以下为主体

always@(posedgeclk)//4hz生成部分

if(i==23'

h47868c)

begin

i<

clk_4hz=~clk_4hz;

end

elsei=i+1'

b1;

end

always@(posedgeclk_4hz)

if(music==7'

d122)//总共的音符节拍数

music<

else

=music+1'

always@(posed

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 解决方案 > 学习计划

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1