基于EDA技术的DPSK调制解调系统设计文档格式.docx

上传人:b****5 文档编号:20786545 上传时间:2023-01-25 格式:DOCX 页数:8 大小:36KB
下载 相关 举报
基于EDA技术的DPSK调制解调系统设计文档格式.docx_第1页
第1页 / 共8页
基于EDA技术的DPSK调制解调系统设计文档格式.docx_第2页
第2页 / 共8页
基于EDA技术的DPSK调制解调系统设计文档格式.docx_第3页
第3页 / 共8页
基于EDA技术的DPSK调制解调系统设计文档格式.docx_第4页
第4页 / 共8页
基于EDA技术的DPSK调制解调系统设计文档格式.docx_第5页
第5页 / 共8页
点击查看更多>>
下载资源
资源描述

基于EDA技术的DPSK调制解调系统设计文档格式.docx

《基于EDA技术的DPSK调制解调系统设计文档格式.docx》由会员分享,可在线阅读,更多相关《基于EDA技术的DPSK调制解调系统设计文档格式.docx(8页珍藏版)》请在冰豆网上搜索。

基于EDA技术的DPSK调制解调系统设计文档格式.docx

设计任务:

利用EDA技术设计程序将数字基带信号进行DPSK调制和解调的过程仿真出来。

设计要求:

在对数字通信原理中DPSK调制解调过程的充分理解下熟练地运用VHDL语言设计程序绝对码-相对码转换、CPSK调制、CPSK解调和相对码-绝对转换并仿真出来。

指导教师签名:

________

2010年1月15日

二、指导教师评语:

指导教师签名:

__________

2010年1月15日

三、成绩

验收盖章:

__________2010年1月15日

XXXXXXXXXXXXX

1设计目的

通过本课程设计的开展,我能够掌握通信原理中数字信号的DPSK调制和解调,并能用EDA技术进行编程设计并进行软件仿真。

2设计要求

熟悉用VHDL语言进行程序设计进行数字基带信号的DPSK调制与解调的硬件设计,要求用程序设计绝对码-相对码转换、cpsk调制、cpsk解调,相对码-绝对码转换,并进行dpsk调制与解调仿真。

3设计原理

DPSK(差分相移键控)调制解调通过对未调制基带信号进行绝对码-相对码转换、cpsk调制、cpsk解调,相对码-绝对码转换达成目的。

输入基带信号是一串二进制数,绝对码和相对码是相移键控的基础,绝对码是以基带信号码元的电平直接表示数字信息的。

如假设高电平代表“1”,低电平代表“0”,相对码是用基带信号码元的电平相对前一码元的电平有无变化来表示数字信息的,假如相对电平有跳变表示“1”,无跳变表示“0”。

首先用绝对码表示未调制信号,然后进行绝对码-相对码转换,接着进行CPSK调制,利用载波的不同相位去直接传送数字信息,即与载波进行相位调制,是用数字基带信号控制载波的相位,使载波的相位发生跳变。

对二进制CPSK,若用相位π代表“0”码,相位0代表“1”码,即规定数字基带信号为“0”码时,已调信号相对于载波的相位为π;

数字基带信号为“1”码时,已调信号相对于载波相位为同相。

按此规定,2CPSK信号的数学表示式(1.1)为

(1.1)

式中θ0为载波的初相位。

受控载波在0、π两个相位上变化。

解调时,把相对码从载波上分离恢复出来,必须要先恢复载波,然后把载波与CPSK信号进行比较,才能恢复基带信号。

最后进行相对码—绝对码转换,恢复为输入的基带信号。

4程序的设计

4.1绝对码-相对码转换VHDL程序

--文件名:

DPSKjuexiang

--功能:

基于VHDL硬件描述语言,对基带信号进行绝对码到相对码的转换

libraryieee;

useieee.std_logic_arith.all;

useieee.std_logic_1164.all;

useieee.std_logic_unsigned.all;

entityDPSKjuexiangis

port(clk:

instd_logic;

--系统时钟

start:

--开始转换信号

x:

--绝对码输入信号

y:

outstd_logic);

--相对码输出信号

endDPSKjuexiang;

architecturejuexiangofDPSKjuexiangis

signalq:

integerrange0to3;

--分频器

signalxx:

std_logic;

--中间寄存信号

begin

process(clk,x)--此进程完成绝对码到相对码的转换

ifclk'

eventandclk='

1'

then

ifstart='

0'

thenq<

=0;

xx<

='

;

elsifq=0thenq<

=1;

=xxxorx;

y<

--输入信号与前一个输出信号进行异或

elsifq=3thenq<

elseq<

=q+1;

endif;

endif;

endprocess;

endjuexiang;

4.2CPSK调制VHDL程序

CPSK

基于VHDL硬件描述语言,对基带信号进行调制

entityCPSKis

--开始调制信号

--基带信号

--已调制输出信号

endCPSK;

architecturetwoofCPSKis

std_logic_vector(1downto0);

--2位计数器

signalf1,f2:

--载波信号

process(clk)--此进程主要是产生两重载波信号f1,f2

="

00"

elsifq<

01"

thenf1<

f2<

q<

11"

elsef1<

process(clk,x)--此进程完成对基带信号x的调制

ifq(0)='

then

ifx='

theny<

=f1;

--基带信号x为'

时,输出信号y为f1

elsey<

=f2;

时,输出信号y为f2

endtwo;

4.3CPSK解调VHDL程序

CPSKjie

基于VHDL硬件描述语言,对CPSK调制的信号进行解调

libraryieee;

entityCPSKjieis

--同步信号

--调制信号

endCPSKjie;

architecturejietiaoofCPSKjieis

process(clk)--此进程完成对CPSK调制信号的解调

--在q=0时,根据输入信号x的电平来进行判决

endjietiao;

4.4相对码-绝对码转换VHDL程序

DPSKxj

基于VHDL硬件描述语言,对基带码进行相对码到绝对码的转换

entityDPSKxjis

--相对码输入信号

--绝对码输出信号

endDPSKxj;

architecturexiangjueofDPSKxjis

--分频

--寄存相对码

process(clk,x)--此进程完成相对码到绝对码的转换

elsifq=3thenq<

y<

=x;

--输入信号x与前一输入信号xx进行异或

endxiangjue;

对上述vhdl程序进行编译并生成电路元件图5.1

5系统仿真

图5.1DPSK调制解调原理图

图5.1是有四个程序绝对码-相对码转换、cpsk调制、cpsk解调和相对码-绝对码转换分别编译成功后转化成模块,然后与初始三个输入量合理连接,绝对码-相对码转换后的的输出作为cpsk调制的输入,依次按原理连接好再编译。

图5.2DPSK调制解调仿真图

图5.2是在图5.1编译成功基础上的波形仿真图,时钟信号控制同步,start信号是工作的开始,上升沿有效,x是绝对码输入信号,y0是相对码信号的输出,y1是调制信号的输出,y2是解调码信号的输出,y3是绝对码信号的输出。

6设计总结

通过VHDL编程进一步深化对通信原理知识的学习,我加深了对通信原理对基带信号调制解调的理解,并且提高了对EDA技术的应用能力,更进一步地了解通信系统的实质,充分理解通信在其专业领域的重要意义。

EDA仿真促进我对原理付诸实践的过程的感受。

这次设计提高了我们查询资料的能力,动手处理问题的能力。

课程设计的完成和老师的指导,同学的帮助分不开的。

参考文献

[1]王兴亮,寇宝明.数字通信原理与技术[M].西安:

西安电子科技大学出版社,2009:

52-75.

[2]江国强.EDA技术与应用[M].北京:

电子工业出版社,2009:

53-99.

[3]樊昌信.通信原理[M].北京:

国防工业出版社,2003:

106-143.

[4]曹志刚.现代通信原理[M].北京:

清华大学出版社,2005:

77-96.

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 表格模板 > 合同协议

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1