ImageVerifierCode 换一换
格式:DOCX , 页数:8 ,大小:36KB ,
资源ID:20786545      下载积分:3 金币
快捷下载
登录下载
邮箱/手机:
温馨提示:
快捷下载时,用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)。 如填写123,账号就是123,密码也是123。
特别说明:
请自助下载,系统不会自动发送文件的哦; 如果您已付费,想二次下载,请登录后访问:我的下载记录
支付方式: 支付宝    微信支付   
验证码:   换一换

加入VIP,免费下载
 

温馨提示:由于个人手机设置不同,如果发现不能下载,请复制以下地址【https://www.bdocx.com/down/20786545.html】到电脑端继续下载(重复下载不扣费)。

已注册用户请登录:
账号:
密码:
验证码:   换一换
  忘记密码?
三方登录: 微信登录   QQ登录  

下载须知

1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。
2: 试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。
3: 文件的所有权益归上传用户所有。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 本站仅提供交流平台,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

版权提示 | 免责声明

本文(基于EDA技术的DPSK调制解调系统设计文档格式.docx)为本站会员(b****5)主动上传,冰豆网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知冰豆网(发送邮件至service@bdocx.com或直接QQ联系客服),我们立即给予删除!

基于EDA技术的DPSK调制解调系统设计文档格式.docx

1、设计任务:利用EDA技术设计程序将数字基带信号进行DPSK调制和解调的过程仿真出来。设计要求:在对数字通信原理中DPSK调制解调过程的充分理解下熟练地运用VHDL语言设计程序绝对码-相对码转换、CPSK调制、CPSK解调和相对码绝对转换并仿真出来。 指导教师签名:_ 2010年 1 月 15 日 二、指导教师评语:指导教师签名:_ 2010年 1 月 15 日 三、成绩 验收盖章 :_ 2010年 1 月 15 日 XXXXXXXXXX XXX1设计目的 通过本课程设计的开展,我能够掌握通信原理中数字信号的DPSK调制和解调,并能用EDA技术进行编程设计并进行软件仿真。2设计要求熟悉用VHDL

2、语言进行程序设计进行数字基带信号的DPSK调制与解调的硬件设计,要求用程序设计绝对码-相对码转换、cpsk调制、cpsk解调,相对码绝对码转换,并进行dpsk调制与解调仿真。3设计原理DPSK(差分相移键控)调制解调通过对未调制基带信号进行绝对码-相对码转换、cpsk调制、cpsk解调,相对码绝对码转换达成目的。输入基带信号是一串二进制数,绝对码和相对码是相移键控的基础,绝对码是以基带信号码元的电平直接表示数字信息的。如假设高电平代表“1”,低电平代表“0”,相对码是用基带信号码元的电平相对前一码元的电平有无变化来表示数字信息的,假如相对电平有跳变表示“1”,无跳变表示“0”。首先用绝对码表示

3、未调制信号,然后进行绝对码-相对码转换,接着进行CPSK调制,利用载波的不同相位去直接传送数字信息,即与载波进行相位调制,是用数字基带信号控制载波的相位,使载波的相位发生跳变。对二进制CPSK,若用相位代表“0”码,相位0代表“1”码,即规定数字基带信号为“ 0”码时,已调信号相对于载波的相位为;数字基带信号为“1”码时,已调信号相对于载波相位为同相。按此规定,2CPSK信号的数学表示式(1.1)为 (1.1)式中0为载波的初相位。受控载波在0、两个相位上变化。解调时,把相对码从载波上分离恢复出来,必须要先恢复载波,然后把载波与CPSK信号进行比较,才能恢复基带信号。最后进行相对码绝对码转换,

4、恢复为输入的基带信号。4 程序的设计4.1 绝对码相对码转换VHDL程序-文件名:DPSKjuexiang-功能:基于VHDL硬件描述语言,对基带信号进行绝对码到相对码的转换library ieee;use ieee.std_logic_arith.all;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity DPSKjuexiang isport(clk :in std_logic; -系统时钟 start : -开始转换信号 x : -绝对码输入信号 y :out std_logic); -相对码输出信号end

5、 DPSKjuexiang;architecture juexiang of DPSKjuexiang issignal q:integer range 0 to 3; -分频器signal xx:std_logic; -中间寄存信号 beginprocess(clk,x) -此进程完成绝对码到相对码的转换if clkevent and clk=1 then if start=0 then q=0; xx=; elsif q=0 then q=1;=xx xor x;y -输入信号与前一个输出信号进行异或 elsif q=3 then q else q=q+1; end if;end if;e

6、nd process;end juexiang;4.2 CPSK调制VHDL程序CPSK基于VHDL硬件描述语言,对基带信号进行调制entity CPSK is -开始调制信号 -基带信号 -已调制输出信号end CPSK;architecture two of CPSK isstd_logic_vector(1 downto 0); -2位计数器signal f1,f2: -载波信号process(clk) -此进程主要是产生两重载波信号f1,f2=00 elsif q01 then f1f2q11 else f1process(clk,x) -此进程完成对基带信号x的调制 if q(0)=

7、 then if x= then y=f1; -基带信号x为时,输出信号y为f1 else y=f2;时,输出信号y为f2end two;4.3 CPSK解调VHDL程序CPSKjie基于VHDL硬件描述语言,对CPSK调制的信号进行解调 library ieee;entity CPSKjie is -同步信号 -调制信号end CPSKjie;architecture jietiao of CPSKjie isprocess(clk) -此进程完成对CPSK调制信号的解调 -在q=0时,根据输入信号x的电平来进行判决end jietiao;4.4 相对码绝对码转换VHDL程序DPSKxj基于

8、VHDL硬件描述语言,对基带码进行相对码到绝对码的转换entity DPSKxj is -相对码输入信号 -绝对码输出信号end DPSKxj;architecture xiangjue of DPSKxj is -分频 -寄存相对码process(clk,x) -此进程完成相对码到绝对码的转换elsif q=3 then q y=x;-输入信号x与前一输入信号xx进行异或end xiangjue;对上述vhdl程序进行编译并生成电路元件图5.15 系统仿真图5.1 DPSK调制解调原理图图5.1是有四个程序绝对码-相对码转换、cpsk调制、cpsk解调和相对码绝对码转换分别编译成功后转化成模

9、块,然后与初始三个输入量合理连接,绝对码-相对码转换后的的输出作为 cpsk调制的输入,依次按原理连接好再编译。图5.2 DPSK调制解调仿真图图5.2是在图5.1编译成功基础上的波形仿真图,时钟信号控制同步,start信号是工作的开始,上升沿有效,x是绝对码输入信号,y0是相对码信号的输出,y1是调制信号的输出,y2是解调码信号的输出,y3是绝对码信号的输出。6 设计总结通过VHDL编程进一步深化对通信原理知识的学习,我加深了对通信原理对基带信号调制解调的理解,并且提高了对EDA技术的应用能力,更进一步地了解通信系统的实质,充分理解通信在其专业领域的重要意义。EDA仿真促进我对原理付诸实践的过程的感受。这次设计提高了我们查询资料的能力,动手处理问题的能力。课程设计的完成和老师的指导,同学的帮助分不开的。参考文献1 王兴亮,寇宝明. 数字通信原理与技术M西安:西安电子科技大学出版社,2009:52-752 江国强EDA技术与应用M北京:电子工业出版社,2009:53-993 樊昌信通信原理M北京:国防工业出版社,2003:106-143 4 曹志刚现代通信原理M北京:清华大学出版社,2005:77-96

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1