本科毕业设计eda课程设计.docx

上传人:b****2 文档编号:2049443 上传时间:2022-10-26 格式:DOCX 页数:18 大小:202.34KB
下载 相关 举报
本科毕业设计eda课程设计.docx_第1页
第1页 / 共18页
本科毕业设计eda课程设计.docx_第2页
第2页 / 共18页
本科毕业设计eda课程设计.docx_第3页
第3页 / 共18页
本科毕业设计eda课程设计.docx_第4页
第4页 / 共18页
本科毕业设计eda课程设计.docx_第5页
第5页 / 共18页
点击查看更多>>
下载资源
资源描述

本科毕业设计eda课程设计.docx

《本科毕业设计eda课程设计.docx》由会员分享,可在线阅读,更多相关《本科毕业设计eda课程设计.docx(18页珍藏版)》请在冰豆网上搜索。

本科毕业设计eda课程设计.docx

本科毕业设计eda课程设计

摘要

《EDA技术》是电子信息科学与技术专业学生在电子技术实验技能方面综合性质的实验训练课程,其目的和任务是通过一周的时间,让学生掌握EDA的基本方法,熟悉一种EDA软件(VHDL),并能利用EDA软件设计一个电子技术综合问题,为以后进行工程实际问题的研究打下设计基础。

关键词:

EDA;VHDL;实验设计

 

Abstract

"EDAtechnology"istheelectronicInformationScienceandTechnologystudentstesttheintegratednatureoftrainingcoursesinelectronictechnologyexperimentskills,theirpurposeandmissionthroughtheweek,sothatstudentsmasterthebasicmethodofEDA,afamiliarEDAsoftware(VHDL),andcanuseEDAsoftwaretodesignanintegratedelectronictechnicalissues,andlaythefoundationforfutureresearchdesignedtomakepracticalengineeringproblems.

Keywords:

EDA;VHDL;experimentaldesign

 

目录

摘要I

AbstractII

第1章绪论1

1.1概述1

1.2课题设计主要内容1

第2章开发工具简介2

2.1EDA、VHDL简介2

2.2方案论证3

2.2.1乒乓游戏机的功能3

2.2.2乒乓游戏机设计思路3

2.3乒乓球各模块的设计4

2.3.1控制模块的设计4

2.3.2送数据模块的设计8

2.3.3产生数码管片选信号模块的设计9

2.3.47段译码器模块的设计10

第3章设计结果与分析12

3.1系统的波形仿真12

3.2乒乓游戏机顶层电路图13

结论15

参考文献16

第1章绪论

1.1概述

20世纪末,电子技术获得了飞速的发展,在其推动下,现代电子产品几乎渗透了社会的各个领域,有力地推动了社会生产力的发展和社会信息化程度的提高,同时也使现代电子产品性能进一步提高,产品更新换代的节奏也越来越快。

而休闲时间越来越少的人们越来越没太多的时间出去搞户外活动,于是众多电子游戏相应而出,成为大家的娱乐节目。

因此设计了这个两人的乒乓球游戏。

而电子信息类产品的开发明显地出现了两个特点:

一是开发产品的复杂程度加深;二是开发产品的上市时限紧迫。

而伴随着如上两个特点的产生,相应的出现了设计上的两个问题。

其一,在电子系统日趋数字化、复杂化和大规模集成化的今天,电子厂商们越加迫切地追求电子产品的高功能、优品质、低成本、微功耗和微小封装尺寸,从而使得电子设计日趋复杂。

那么如何去完成这些高复杂度的电子设计呢?

其二,电子产品设计周期短和上市快是电子厂商们坚持不懈的追求,那么面对日趋复杂的设计,又如何能够缩短开发时间呢?

解决以上两个问题的唯一途径是电子设计自动化(EDA),即用计算机帮助设计人员完成繁琐的设计工作。

1.2课题设计主要内容

两人乒乓球游戏机是用8个发光二级管代表乒乓球台,中间两个发光二极管兼做乒乓球网,用点亮的发光二极管按一定方向移动来表示球的运动。

在游戏机的两侧各设置发球和击球开关,甲乙双方按乒乓球比赛规则来操作开关。

当甲方按动发球开关时,靠近甲方的第一个发光二极管亮,然后发光二极管由甲方向乙方依次点亮,代表乒乓球的移动。

当球过网后按照设计者规定的球位乙方就可以击球。

若乙方提前击球或者未击到球,则甲方得分。

然后重新发球进行比赛,知道一方记分达到11分为止,记分清零,重新开始新一局比赛。

 

第2章开发工具简介

2.1EDA、VHDL简介

EDA是指以计算机为工作平台,融合了应用电子技术、计算机技术、智能化技术的最新成果而开发出的电子CAD通用软件包,它根据硬件描述语言HDL完成的设计文件,自动完成逻辑编译、化简、分割、综合、优化、布局布线及仿真,直至完成对于特定目标芯片的适配编译、逻辑映射和编程下载等工作。

目前EDA主要辅助进行三个方面的设计工作:

IC设计、电子电路设计和PCB设计。

没有EDA技术的支持,想要完成超大规模集成电路的设计制造是不可想象的;反过来,生产制造技术的不断进步又必将对EDA技术提出新的要求。

VHDL语言是一种用于电路设计的高级语言。

它在80年代的后期出现。

最初是由美国国防部开发出来供美军用来提高设计的可靠性和缩减开发周期的一种使用范围较小的设计语言。

但是,由于它在一定程度上满足了当时的设计需求,于是他在1987年成为AI/IEEE的标准(IEEESTD1076-1987)。

1993年更进一步修订,变得更加完备,成为AI/IEEE的AI/IEEESTD1076-1993标准。

目前,大多数的CAD厂商出品的EDA软件都兼容了这种标准。

自IEEE公布了VHDL的标准版本,IEEE-1076(简称87版)之后,各EDA公司相继推出了自己的VHDL设计环境,或宣布自己的设计工具可以和VHDL接口。

此后VHDL在电子设计领域得到了广泛的接受,并逐步取代了原有的非标准的硬件描述语言。

1993年,IEEE对VHDL进行了修订,从更高的抽象层次和系统描述能力上扩展VHDL的内容,公布了新版本的VHDL,即IEEE标准的1076-1993版本(简称93版)。

现在,VHDL和Verilog作为IEEE的工业标准硬件描述语言,又得到众多EDA公司的支持,在电子工程领域,已成为事实上的通用硬件描述语言。

有专家认为,在新的世纪中,VHDL于Verilog语言将承担起大部分的数字系统设计任务。

VHDL的程序结构特点是将一项工程设计,用VHDL和原理图输入进行CPLD/FPGA设计的粗略比较:

在设计中,如果采用原理图输入的设计方式是比较直观的。

你要设计的是什么,你就直接从库中调出来用就行了。

这样比较符合人们的习惯。

在对一个设计实体定义了外部界面后,一旦其内部开发完成后,其他的设计就可以直接调用这个实体。

这种将设计实体分成内外部分的概念是VHDL系统设计的基本点。

应用VHDL进行工程设计的优点是多方面的。

(1)与其他的硬件描述语言相比,VHDL具有更强的行为描述能力,从而决定了他成为系统设计领域最佳的硬件描述语言。

强大的行为描述能力是避开具体的器件结构,从逻辑行为上描述和设计大规模电子系统的重要保证。

(2)VHDL丰富的仿真语句和库函数,使得在任何大系统的设计早期就能查验设计系统的功能可行性,随时可对设计进行仿真模拟。

(3)VHDL语句的行为描述能力和程序结构决定了他具有支持大规模设计的分解和已有设计的再利用功能。

符合市场需求的大规模系统高效,高速的完成必须有多人甚至多个代发组共同并行工作才能实现。

(4)对于用VHDL完成的一个确定的设计,可以利用EDA工具进行逻辑综合和优化,并自动的把VHDL描述设计转变成门级网表。

(5)VHDL对设计的描述具有相对独立性,设计者可以不懂硬件的结构,也不必管理最终设计实现的目标器件是什么,而进行独立的设计。

2.2方案论证

2.2.1乒乓游戏机的功能

两人乒乓游戏机能够模拟乒乓球比赛的基本过程和规则,并能自动裁判和记分。

乒乓游戏机是用8个发光二极管代表乒乓球台,中间两个发光二极管兼做乒乓球网,用点亮的发光二极管按一定的方向移动来表示球的运动。

在游戏机的两侧各设置两个开关,一个是发球开关(S1a,S1b),另一个是击球开关(S2a,S2b)。

甲乙两人按乒乓球比赛的规则来操作开关。

当甲方按动发球开关S1a时,靠近甲方的第一盏灯亮,然后二极管由甲向乙依次点亮,代表乒乓球在移动。

当球过网后,按设计者规定的球位乙方就可以击球。

若乙方提前击球或者没有击着球,则判乙方失分,甲方记分牌自动加分。

然后重新发球,比赛继续进行。

比赛一直进行到一方记分到11分,该局结束,记分牌清零,可以开始新的一局比赛。

具体功能如下:

(1)使用乒乓游戏机的甲乙双方各在不同的位置发球或击球。

(2)乒乓球的位置和移动方向由灯亮及依次点燃的方向决定,球移动的速度为0.1~0.5s移动一位。

游戏者根据球的位置发出相应的动作,提前击球或者出界均判失分。

(3)比赛用11分为一局来进行,甲乙双方都应设置各自的记分牌,任何一方先记满11分,该方就算胜了此局。

记分牌清零后,又可开始新的一局比赛。

2.2.2乒乓游戏机设计思路

根据乒乓游戏机功能要求,可以分成四个模块来实现,其中corna模块为整个程序的核心,它实现了整个系统的全部逻辑功能;模块CH41A在数码的片选信号时,送出相应的数据;模块sel产生数码管的片选信号;模块disp是7段译码器。

2.3乒乓球各模块的设计

2.3.1控制模块的设计

模块CORNA分两个进程,第一个进程实现逻辑功能,第二个进程将整数的记分转换为十进制数,便于译码显示。

AF,AJ,BF,BJ分别为a方发球键和接球键,b方发球键和接球键,shift表示球所在的位置。

模块如图2-1所示,其vhdl程序描述如下:

图2-1模块CORNA1

源程序如下:

Libraryieee;

Useieee.std_logic_1164.all;

Useieee.std_logic_unsigned.all;

EntitycornalisPort(clr,af,aj,bf,bj,clk:

instd_logic;

Shift:

outstd_logic_vector(7downto0);

Ah,al,bh,bl:

outstd_logic_vector(3downto0);Awin,bwin:

outstd_logic);

Endcornal;

Architecturebahaveofcornalis

Signalamark,bmark:

integer;

Begin

Process(clr,clk)

Variablea,b:

std_logic;

Variableshe:

std_logic_vector(7downto0);

Begin

Ifclr='0'then

a:

='0';

b:

='0';

she:

="00000000"

amark<=0;

bmark<=0;

elsifclk'eventandclk='1'then

ifa='0'andb='0'andaf='0'then--a方发球

a:

='1';

she;="10000000";

elsifa='0'andb='0'thenbf='0'then--b方发球

b:

='1';

she:

="00000001";

elsifa='1'andb='0'then--a方发球后

ifshe>8then

ifbj='0'then--b方过网击球amark<=amark+1;--a方加一分

a:

='0';

b:

='0';

she:

="00000000";

else

she:

='0'&she(7downto1);--b方没有击球

endif;

elsifshe=0then--球从b方出界

amark<=amark+1;--a方加一分

a:

='0';

b:

='0';

else

if

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 人文社科

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1