南京理工大学EDA2实验报告Word文档下载推荐.docx

上传人:b****6 文档编号:20068370 上传时间:2023-01-16 格式:DOCX 页数:21 大小:545.65KB
下载 相关 举报
南京理工大学EDA2实验报告Word文档下载推荐.docx_第1页
第1页 / 共21页
南京理工大学EDA2实验报告Word文档下载推荐.docx_第2页
第2页 / 共21页
南京理工大学EDA2实验报告Word文档下载推荐.docx_第3页
第3页 / 共21页
南京理工大学EDA2实验报告Word文档下载推荐.docx_第4页
第4页 / 共21页
南京理工大学EDA2实验报告Word文档下载推荐.docx_第5页
第5页 / 共21页
点击查看更多>>
下载资源
资源描述

南京理工大学EDA2实验报告Word文档下载推荐.docx

《南京理工大学EDA2实验报告Word文档下载推荐.docx》由会员分享,可在线阅读,更多相关《南京理工大学EDA2实验报告Word文档下载推荐.docx(21页珍藏版)》请在冰豆网上搜索。

南京理工大学EDA2实验报告Word文档下载推荐.docx

最后对实验过程中产生的问题提出自己的解决方法。

并叙述了本次实验的实验感受与收获。

关键词:

QuartusII7.0多功能数字钟保持清零

整点报时校时校分动态显示SMARTSOPC

Abstract

ThisexperimentusestheQuartusII7.0softwaretodesignonetohave24hourstime,themaintenance,thereset,thefasttimingschoolminute,theintegralpointreportstimeandsoondigitalclocks.AndusingtheQuartusIIsoftwarerealizesthemulti-purposedigitalclocksimulation.ThroughtheSmartSOPCexperimentbox,Iconfirmtheresultofthisexperiment.

Thereportanalyzestheelectriccircuitprincipleofwork,andalsoillustratesthedesignofeachmoduleandediting,simulation,andtheprocessofusingthewaveformtotestingeachSubmodule.Meanwhile,itdescribeshowthemodulestogether,combinedforatotalcircuit.Finallytheexperimentalproblemsarisingintheprocessofpresenttheirsolutions.Anddescribestheexperienceandresultofthisexperiment.

Keywords:

QuartusII7.0DigitalclockmaintenanceresettimealarmchangeminuteandhourquicklydynamicdisplaySMARTSOPC

目录

封面………………………………………………………………………………1

摘要………………………………………………………………………………2

Abstract…………………………………………………………………………3

目录………………………………………………………………………………4

1.设计要求………………………………………………………………………5

2.实验原理………………………………………………………………………6

3.模块电路设计………………………………………………………………7

3.1脉冲发生电路…………………………………………………………7

3.2计时电路……………………………………………………………12

3.3清零电路………………………………………………………………17

3.4校时,校分电路………………………………………………………18

3.5保持电路………………………………………………………………19

3.6整点报时电路…………………………………………………………19

3.7译码显示电路…………………………………………………………20

3.8附加电路(选做)…………………………………………………21

4.总电路图……………………………………………………………………22

5.电路下载………………………………………………………………………22

6.实验感想和收获……………………………………………………………23

6.1遇到的问题与解决方案……………………………………………23

6.2收获与感受…………………………………………………………24

6.3期望及要求…………………………………………………………25

7.参考文献……………………………………………………………………25

1.设计要求

本次EDA设计利用QuartusII7.0软件设计一个多功能数字钟,并下载到SmartSOPC实验系统中进行验证。

该数字计时器可以完成从00:

00:

00到23:

59:

59的计时功能,并在控制电路的作用下具有保持、清零、快速校时、快速校分、整点报时以及众多附加功能。

数字钟具体设计要求如下:

1、能进行正常的时、分、秒计时;

2、分别由六个数码管显示时,分,秒的数字;

3、K_KEY是系统的保持开关(K_KEY=0正常工作,K_KEY=1时钟保持不变);

4、RDN是系统的清零开关(RDN=0正常工作,RDN=1时钟的时、分、秒全清零);

5、M_KEY是系统的校分开关(M_KEY=0正常工作,M_KEY=1时可以快速校分);

6、H_KEY是系统的校时开关(H_KEY=0正常工作,H_KEY=1时可以快速校时);

7、使时钟具有整点报时功能(当时钟计到59’53”时开始报时59’53”,

59’55”,59’57”时报时频率为500Hz,59’59”时报时频率为1KHz);

附加功能(选做)

(1)能够在时分秒的前一位显示当前日期(指星期);

(2)具有闹铃功能(能够设定时间并具有彩铃功能);

(3)在控制电路的作用下具有显示当前日期(指月份和日)的功能。

(4)切换到秒表界面,实现秒表计时功能;

2.实验原理

多功能数字钟系统可以分为以下几大模块:

脉冲信号发生模块,基本计时模块,译码显示模块,校分校时电路模块,报时电路模块。

图为多功能数字钟电路的系统框图:

下面简单介绍电路的主要几个部分:

(1)脉冲发生电路:

将系统提供的48MHZ频率分成1HZ,2HZ,500HZ,1KHZ,

1MHZ的频率,供后面电路使用。

1HZ频率为计时电路提供,可以实现秒位计数;

2HZ频率为快速校时、校分提供,此时时钟的时、分为以2HZ快速计数从而实现校分功能;

500HZ与1KHZ为整点报时电路提供,在指定时间蜂鸣器发出该频率声音。

(2)计时电路:

实现24小时正常计时。

(3)清零电路:

开关有效时,对时、分、秒各位进行清零。

(4)校时校分电路:

开关有效时,以2HZ的频率实现快速校时或校分。

(5)报时电路:

在59分53秒、55秒、57秒给蜂鸣器输出500Hz,59分59秒输出1KHz,实现整点报时。

(6)译码显示电路:

通过数据选通器、译码器、译码转换器和7段显示管实现。

因为实验中只用一个译码显示单元,所以通过4个7选一MUX和一个3-8译码器配合,根据计数器的信号进行数码管的动态显示。

(7)闹钟设定电路(选做):

通过界面切换设定闹钟,在时钟走到与设定的相同时间时,向蜂鸣器输报时信号。

(8)秒表电路(选做):

通过界面切换实现秒表功能,由分、秒、毫秒三部分组成。

(9)星期显示电路(选做):

通过时的进位,产生星期信号,对星期进行计数,并予以显示。

3.模块电路设计

3.1脉冲发生电路

秒计数器的计数时钟CLK为1Hz的信号,由于实验室提供的SmartSOPC实验系统只提供48MHz的时钟信号,因此需要对48MHz信号进行分频以得到1Hz时钟信号。

首先将48MHz进行48分频得到1MHz的频率。

48分频器由两片74160同步十进制计数器以置数方式实现,完成0~47计数,在计数器走到47时给置数端输入有效信号0,在下个脉冲到来时将2个计数器一起置为0。

同时将最高位输出,作为下一级分频器的时钟输入。

电路原理图如下:

波形图如下:

然后将通过48分频器信号输出的1MHZ的频率再通过1000分频器输出1KHZ的频率。

1000分频器需要三片74160,完成0~999计数,因为每片74160是模十计数器,直接将三片级联就可以实现模1000计数,最高位输出作为下一级的时钟输入。

10分频电路原理图如下:

其波形图如下:

将三个10分频电路级联就可得到1000分频电路,电路原理图如下:

1000分频波形图如下:

(由于1000分频过小,所以输入信号周期以无法区别)

此时48MHZ频率已经过48分频与1000分频,输出为1KHZ频率。

为得到500HZ频率需把1KHZ频率再2分频,这时再经过一个D触发器就能得到频率为500HZ的信号,电路原理如下图:

2分频波形图如下:

又因为秒计数器的计数时钟CLK为1Hz的信号,所以我们还要将刚刚得到的1KHZ频率的信号再进行1000分频,电路仍采用原1000分频电路,电路原理图可参照上一个1000分频电路,波形亦然。

最后将以上所有小电路模块进行封装,得到整个脉冲发生电路模块,电路原理图如下:

封装后的电路模块如下:

3.2计时电路

计时电路的基本结构由两个60进制计数器和一个24进制计数器组成,分别对秒、分、小时进行计时。

三个计数器之间构成进位的关系,秒计数器走到59时为分计数器提供时钟信号,分计数器走到59时为时计数器提供时钟信号来实现进位,从而实现00时00分00秒到23时59分59秒的循环计数。

(1)模60计数器

模60计数器,由二片74160同步二进制计数器以置数方式实现,完成0~59计数,在计数器走到59时给置数端输入有效信号0,在下个脉冲到来时将计数器置为0。

同时,因为考虑到实际器件所造成的偏差和电平偏移,在置数时不仅用到此时为高电平的4个信号,同时也将呈现低电平信号的输出端以非的形式参与置数,使得保证在信号中没有毛刺产生,造成不必要的麻烦。

模60电路原理图如下:

封装后模60计数模块如下:

模60计数器仿真波形如下:

(2)模24计数器

模24计数器,由二片74160同步二进制计数器以置数方式实现,完成0~23计数,在计数器走到23时给置数端输入有效信号0,在下个脉冲到来时将计数器置为0。

模24电路原理图如下:

封装后模24计数模块如下:

模24计数器仿真波形如下:

最后将2个模60计数器和模24计数器封装于一个模块下,构成计时电路模块,电路原理图如下:

封装后的计时电路模块如下:

3.3清零电路

由于在正常计时时电路采用了74160计数器,所以就可以利用74160的异步清零端(无论是否有时钟信号,只要清零端置低电平,计数器自动清零),即可实现清零功能。

具体操作为把所有计数器的清零端

同时接到一个开关,任何时刻,拨动清零开关即可实现对所有计数器的清零。

又由于默认为高电平清零,所以在清零开关后还要接入一个非门后再引到计数器的清零端

3.4校时、校分电路

本设计通过拨动M_KEY、H_KEY按键可以实现电路的校时校分功能。

在M_KEY时,计数器正常工作,M_KEY时,计数器以2HZ频率快速校分,同时时,秒电路正常计数。

在H_KEY时,计数器正常工作,H_KEY时,计数器以2HZ频率快速校时,同时分、秒计时电路正常计数。

校时校分原理电路图如下:

其中adjustsignal端输入2HZ脉冲信号。

同时,我们还需考虑在校时校分时由于拨动开关而造成的电平抖动,所以可以在原电路图上加入防抖动电路,使得电路更加稳定。

为此,我们引入D触发器,利用锁存实现防颤功能。

3.5保持电路

本设计要求在K_KEY=1时,计时电路保持不变。

所以,我们可考虑不给计数器输入时钟脉冲,以达到电路保持不变的目的。

电路原理如下:

3.6整点报时电路

当电路计时到59分53,55,57秒时,分别发出一声较低的蜂鸣声;

当计时到59分59秒时,发出一声较高的蜂鸣声。

需要在某时刻报时,就将该时刻输出为“1”的信号作为触发信号,同时选通此时所需报时脉冲信号进行报时。

最后,利用上学期所学数字逻辑电路知识对各时间信号所对应二进制数作卡诺图对其化简,电路原理图如下:

3.7译码显示电路

译码显示电路为动态显示电路,即只使用一片译码器,轮流扫描显示时位、分位、秒位。

当扫描频率很高(在本电路中我们选用1000HZ作为扫描频率)时,由于人眼的视觉暂留现象,与同时显示的效果一样。

动态显示设计思想如下:

在6进制计数器控制下,通过74151选择器选择星时、分、秒的个、十信号依次通过译码器7447,并使用74138选择器控制对应的数码管使能端使之显示。

整体思想如下图所示:

3.8附加电路(选做)

本实验中可以加入的附加电路有:

(1)在时分秒的前一位显示当前日期(指星期);

由于实验时间的紧张,以及众多客观因素,我们没有选择去做电路的附加功能,而是更加耐心地,认真地做好电路的基本功能。

力求搞懂每一个知识点,详细地掌握实验的所有原理,不为做实验而做实验。

4.总电路图

5.电路下载

波形仿真正确无误后,就可以将设计好的电路下载到芯片上,以进行硬件实施。

在下载之前,必须先对每个管脚进行分配。

具体操作为选择“Assignments﹣Pins”,打开“PinPlanner”对话框。

在AllPins一栏的location位置出写入每个输入输出端口对应的管脚号。

每个管脚都配置完成后,在对整个电路编译一下。

同时注意,为了防止烧坏芯片我们还要将不用的管脚选择输出高阻态。

具体操作为选择“Assignments-Device”,此时打开“Setting”对话框,在“Device”一栏,打开“Device&

PinOptions”对话框,选择“UnusedPins”标签,在Reserveallunusedpins处选择“Asinputtri-stated”将没有用到的管脚设置为高阻态。

打开实验箱电源开关,点击主编辑页面的下载按钮,当出现下载界面后,选中“Programconfigure”,点击“Start”按钮,开始从实验箱下载。

本实验用的是QuartusII7.0软件和SmartSOPC实验箱,所以要选用Altera公司的Cyclone系列芯片,并配置好相应的管脚。

6.实验感想和收获

6.1遇到的问题与解决方案

在本次实验过程中我们遇到了很多问题,具体如下:

(1)有关电路仿真FUNCTION和TIMING的选择问题;

在本次实验中,起初我们一直选用FUNCTION来对电路进行仿真,观察波形。

可当我们第一次将电路下载到实验箱时,电路出现了问题,即当秒位走到50时就会产生进位信号使分位进一。

发现该问题后,我们仔细的检查了原计时电路,在确定电路原理没有错的情况下,我们推测可能是由于实际器件所产生的误差引起的进位,因此我们重新对电路进行仿真(选用TIMING),结果在检查波形图时发现了秒位在50时,进位信号有毛刺。

对此,我们对电路进行改进,即增多原来的控制进位信号,使电路更加稳定。

(2)有关电路的消颤问题;

在本次实验中,起初当我们利用控制开关对电路进行保持,校分,校时功能操作时,电路会出现很大的不稳定,即显示乱码。

为解决此问题,我们在请教了老师后引入了消颤电路,即利用D触发器的锁存功能消除抖动。

(3)电路的译码显示问题;

在本次实验中,译码显示模块曾一度成为我们设计的障碍。

但是,最后在翻阅了很多资料后才我们还是明白了其中的原理,设计出该模块。

(4)计时电路问题;

在本次实验中,起初我们采用异步的思想做计数器,可后来再检查完波形后(用TIMING仿真),我们发现有些波形延时的很严重。

所以最后我们采用了同步的思想。

6.2收获与感受

通过此次EDA实验多功能电子时钟的设计,我学到了许多,不仅是一些理论知识,而且具体的动手实践方面也收获颇多,当然,最重要的是一种电路设计的思想,大的问题转换成小的问题加以解决,困难的问题转换成简单的问题加以解决,我想这就是一种模块化设计吧。

这次实验让我认识到我缺乏的东西还很多。

理论与实践都还是很贫瘠的,不仅是专业知识,其它方面的知识了解的也少。

所以,我想,在今后,我还需要不断的学习,不断的充实自身,在学好理论的前提下,不断地磨练自己的实践能力,无论日后自己是否从事研究,都为自己打下一个好的基础。

6.期望及要求

本次实验,我们最大的遗憾就是没有进行附加功能电路的设计,所以,希望日后如果有机会能在课下完成这部分的内容。

同时也提出了对自我更高的要求,即更好的掌握QuartusII7.0软件以及EDA技术的应用,去参加更多的类似这种实验的动手实践,真正地锻炼到自己,将自己打造成一个理论与实践都可以的全方位人才。

7.参考文献

[1]《数字逻辑电路》.蒋立平姜萍谭雪琴花汉兵.电子工业出版社.2009

[2]《EDA设计实验指导书》.南京理工大学电子技术中心.2008.

[3]《基于Quartus的多功能数字钟设计》.XX文库.2010.

最后,在这里诚挚地感谢EDA实验室的老师在本次实验中对学生的悉心帮助与指导。

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > PPT模板 > 中国风

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1