模拟停车场管理系统的设计EDA报告Word格式.docx

上传人:b****5 文档编号:19677887 上传时间:2023-01-08 格式:DOCX 页数:25 大小:87.37KB
下载 相关 举报
模拟停车场管理系统的设计EDA报告Word格式.docx_第1页
第1页 / 共25页
模拟停车场管理系统的设计EDA报告Word格式.docx_第2页
第2页 / 共25页
模拟停车场管理系统的设计EDA报告Word格式.docx_第3页
第3页 / 共25页
模拟停车场管理系统的设计EDA报告Word格式.docx_第4页
第4页 / 共25页
模拟停车场管理系统的设计EDA报告Word格式.docx_第5页
第5页 / 共25页
点击查看更多>>
下载资源
资源描述

模拟停车场管理系统的设计EDA报告Word格式.docx

《模拟停车场管理系统的设计EDA报告Word格式.docx》由会员分享,可在线阅读,更多相关《模拟停车场管理系统的设计EDA报告Word格式.docx(25页珍藏版)》请在冰豆网上搜索。

模拟停车场管理系统的设计EDA报告Word格式.docx

1)文字通顺,语言流畅,书写字迹工整,打印字体及大小符合要求,无错别字,不准请他人代写

2)工程设计类题目的图纸,要求部分用尺规绘制,部分用计算机绘制,所有图纸应符合国家技术标准规范。

图表整洁,布局合理,文字注释必须使用工程字书写,不准用徒手画

3)毕业论文须用A4单面打印,论文50页以上的双面打印

4)图表应绘制于无格子的页面上

5)软件工程类课题应有程序清单,并提供电子文档

5.装订顺序

1)设计(论文)

2)附件:

按照任务书、开题报告、外文译文、译文原文(复印件)次序装订

3)其它

【摘要】

随着人民生活水平的提高,我国汽车保有量不断提升,私家车的数量越来越多,在生活小区、办公场所、商场、旅游景点等地方,停车难问题也日益突出。

解决停车难问题,除了加大基础设施投入(扩建停车场)外,有效地对现有的停车场进行合理分配、调度与管理,也是行之有效的措施,因而建设配套的停车场管理系统,对停车位进行有序地管理十分必要。

目前,无论在生活小区或是在写字楼宇的建设规划中,均已对停车位的规划配备进行了充分考虑,停车场已成为人们生活服务的必备场所之一,停车场的数目增多,对停车场管理系统产生了强大的市场需求。

基于上述两点需求,本文设计了一套停车场管理系统,从地感线圈、道闸、吐卡机、主控板到微机管理系统,全套软硬件系统实现了对场内车位有序地分配与调度。

本文将从整体方案、硬件设计、软件设计、安装实施等方面进行全面地介绍该系统。

同时,本套系统在比较市场同类产品的基础上,独到地将停车管理中的日常处理从上位机(微机)移至下位机(主控板),降低了整个系统对微机的依赖,显出了自己的竞争优势。

【关键词】:

停车场、管理系统、无线射频卡

【Abstract】

Withtheimprovementofpeople'

slivingstandard,carownershipinChinaisrising,moreandmorepeopleownprivatecars,inthelivingquarters,offices,shoppingmalls,touristattractionsandotherplaces,parkingdifficultissuesarealsoincreasinglyprominent.Tosolvetheparkingproblem,inadditiontoincreasetheinvestmentofinfrastructure(expansionofparkinglot),effectivelycarriesontherationaldistribution,theexistingparkinglotschedulingandmanagement,istheeffectivemeasure,parkingmanagementsystemandconstruction,theparkingspacesfororderlymanagementisverynecessary.Atpresent,nomatterinthelivingquartersandofficebuildingplanning,haveparkingspacewiththeplanningforafullaccount,parkinghasbecomeoneofthenecessaryplacelivingservices,thenumberofparkinglotsmore,producedastrongmarketdemandforparkingmanagementsystem.Basedontheabovetwodemands,thispaperdesignsasetofparkingmanagementsystem,inductioncoil,maincontrolgate,templecardmachines,platetothemicrocomputermanagementsystemfromtheground,afullsetofhardwareandsoftwaresystemoftheparkingspacesandorderlyallocationandscheduling.Thispaperfromtheoverallsystemdesign,hardwaredesign,softwaredesign,installationandotheraspectsoftheimplementationofacomprehensiveintroductiontothesystem.Atthesametime,thesystembasedonthecomparisonofsimilarproductsonthemarket,willbeuniquetothedailymanagementofparkingfromthehostcomputer(PC)tothenextmachine(maincontrolboard),reducetheentiresystemtorelyonthecomputer,showingitscompetitiveadvantage.

Keywords:

Theparkinglot,managementsystem,radiofrequencycard

目录

绪论1

1系统工作原理2

1.1基本原理2

2设计2

2.1系统结构设计及分析2

2.2分频模块4

2.3消抖模块4

2.4车牌显示模块5

2.5计时模块5

2.6 

费率器模块6

2.7滚动模块6

2.8译码模块7

3使用说明8

4结论8

参考文献9

附录A源程序10

附录B系统原理图20

绪论

近年来,随着中国经济的快速增长和国民收入的不断提高,汽车拥有量也日益增多,汽车已经逐步走进千家万户,使很多城市出现了“停车难”问题。

为了满足日益增长的停车需求,大型、超大型停车场不断涌现,传统停车场管理系统已不能满足实际需求。

存在的问题突出表现在:

现有的停车场管理系统要求车辆在出入停车场时须停车验卡,车辆进出极其缓慢,经常造成上、下班堵车现象,不仅浪费时间,能源浪费也非常严重;

随着停车场中车辆数量的增加,管理工作量和难度都成倍加大,传统停车场管理系统在安全性和效率方面暴露出严重的缺陷。

因此,对现有的停车场管理系统进行升级更新,是停车场建设中的当务之急。

本文针对上述实际问题,通过研究开发射频识别技术和红外通信技术,设计实现了一种远距离智能识别的停车场管理系统,以解决传统停车管理系统存在的问题。

本设计基于射频芯片,设计了无线阅读器和无线智能电子标签通信系统,解决了远距离自动识别车辆信息的问题;

所设计的车辆无线阅读识别系统与后台计算机管理系统相联结,便形成了一个功能强大和系统完善的远距离智能车辆识别系统。

系统可以实现远距离车辆信息自动识别,实现车辆自动安全检测管理和收费等功能;

系统还具有图像比对校验,车辆数据网络自动更新等新功能。

远距离智能识别停车场管理系统将新颖的生活理念和建筑艺术、信息技术、计算机技术等现代高科技完美结合,提供的是一种操作简单、使用方便、功能先进的人性化系统。

1系统工作原理

1.1基本原理

当一辆车进入停车场,按键A被按下:

计时器开始计时,同时把该车的车牌号和费率送入数码管显示(3个数码管显示拨码开关对应的十进制数:

采用BCD码转换的方法;

费率显示采用输入设置,可以通过按键+1的方式设置从1~99的费率)。

当车驶出停车场时,按键B被按下,此时计时器停止计时,并把计时时间送入数码管显示(2位小时数、两位分钟数),同时显示车牌号信息及停车费用(三位显示:

停车费用=停车时间×

费率)。

由于数码管位数不够采用滚动显示的方式。

2设计

2.1系统结构设计及分析

本系统主要包括以下七个模块:

分频模块fpq,车牌BCD转换模块chepai,消抖模块xiaodou,计时模块jishi,费率模块feilvqi,滚动模块gundong,译码器模块ymq。

先通过VHDL文本生成这些模块,再在顶层文件中调用这些模块。

系统的主程序流程图如图1所示。

图1

2.2分频模块

该模块对实验箱上的50MHz时钟进行分频,分成所需要的几种不同频率的时钟。

分频的原理为:

对50MHz时钟每来一个上升沿计一次数,当计数到一定值的时候,计数值清零并且让输出电平取反,根据计数值的不同可以得到不同的输出频率。

在本设计中分频出0.1HZ,1HZ,100Hz,200Hz,500Hz的频率,以供不同的需要。

图2

2.3消抖模块

作为机械开关的键盘,在按键操作时,机械触点的弹性及电压突跳等原因,在触点闭合和开启瞬间会出现电压的抖动。

为保证按键识别的准确性,在按键电压信号抖动的情况下不能进行状态输入。

为此必须进行去抖动处理,消除抖动部分的电压信号,一般有硬件和软件两种方法。

硬件就是加去抖动电路,这样可以从根本上解决按键抖动问题。

软件消抖就是利用软件延时消抖,具体说就是当检测到高电平(有按键按下),1kHz脉冲来一个高电平计数值加1,一遇到低电平计数值清零,当计数值大于10时,说明按键是被真的按下,消除了抖动。

本系统采用软件消抖。

图3

2.4车牌显示模块

用8位拨码开关表示车号,拨码开关对应的8位二进制通过BCD转换为12位BCD码。

图4

2.5计时模块

当A键按下时,计时器开始计时,B键按下时,计时器停止计时,clk为计时脉冲,来一个上升沿,计数器加1,reset为总复位信号。

费率器模块

初值为0,当费率在0-99范围内时,费率按键每按一次,费率加1。

图7

2.7滚动模块

滚动显示模块,当C=1时不滚动,但当C=0时滚动显示车牌、停车时间、及停车中费用。

y[3..0]输出到译码器,sel为位选信号。

图8

2.8译码模块

该模块将将输入的二进制信号译成相应的七段共阳极数码管的编码。

数据输入data[3..0],译码输出led7s[6..0]。

图9

3使用说明

按键说明:

A按键:

为开始按钮,即当车辆进入停车场时,按下A开始计时,并显示进入车辆的车牌号及收费费率。

B按键:

为停止按钮,即当车辆驶出停车场时,按下B按钮,停止计时,并滚动显示,车牌号、停车时间及停车费用。

费率按键:

为费率设置按钮,每按一次,费率加1。

Reset按钮为总复位按钮。

clk为系统时钟,绑定到实验箱上的50MHz的时钟上,led7s[6..0]为数码管段选控制信号,sel[7..0]为8个数码管的位选控制信号。

测试方法:

首先按下复位键,然后设置八位拨码开关的值(车牌号),此时,数码管显示三位车牌号信息和费率,按下费率按键可以设置费率的值(每按一次加1,范围0~99),过一段时间,按下B键,此时8位数码管会滚动显示,三位车牌号,四位停车时间和三位停车费。

按下复位键,可重新测试。

4结论

模拟停车场管理系统,虽然在速度上我没能赶上大部分同学,但是经过在之前将近一个月的前期准备和一周的集中设计让我体会到了很多的东西。

虽然在查找资料中找到了一个相似的程序,但是还是有很多的错误。

后来,进过与同课题的同学的一起分析和改进终于还是完成了可用的程序。

在这场战斗中我有很大的收获,首先我采用层次化结构化设计,将此项设计任务分成若干模块,规定每一模块的功能和各模块之间的接口,然后再将各模块联合起来调试,加深了我们对层次化设计的概念的认识。

在设计的过程中,虽然遇到了很多困难,但是通过向老师和同学请教以及自己不断摸索、测试,一个个难题迎刃而解。

从而提高了我独立发现问题、分析问题、解决问题的能力,其次,我也明白了一个道理,任何一件事情,只有亲自去做的时候才知道它到底难不难,有多难。

我们就像小马过河中的小马,需要自己多去尝试。

参考文献

[1].《EDA技术实用教程》潘松,黄继业..北京:

科学出版社,2006

[2].《VHDL设计实例与仿真》姜雪松,吴钰淳,王鹰等..北京:

机械工业出版社,2007

[3].《基于QuartusⅡ的FPGA/CPLD设计》李洪伟,袁斯华..北京:

电子工业出版社,2006

附录A源程序

libraryieee;

useieee.std_logic_1164.all;

useieee.std_logic_unsigned.all;

entityfpqis

port(clk:

instd_logic;

FP500HZout,FP200HZout,FP100HZout,FP1HZout,FP01HZout:

outstd_logic);

endentity;

architectureoneoffpqis

signalclkjs1:

std_logic;

signalclkjs2:

signalclkjs3:

signalclkjs4:

signalclkjs5:

signalcnq1:

integerrange5000000downto0;

signalcnq2:

signalcnq3:

signalcnq4:

signalcnq5:

integerrange50000000downto0;

begin

mk1:

process(clk)

begin

ifclk'

eventandclk='

1'

then

ifcnq5<

=2500000then

cnq5<

=cnq5+1;

else

=0;

clkjs5<

=not(clkjs5);

endif;

endif;

FP01HZout<

=clkjs5;

endprocess;

mk2:

process(clk)

ifcnq1<

=500then

cnq1<

=cnq1+1;

else

clkjs1<

=not(clkjs1);

FP500HZout<

=clkjs1;

mk3:

ifcnq2<

=12500000then

cnq2<

=cnq2+1;

cnq2<

clkjs2<

=not(clkjs2);

FP200Hzout<

=clkjs2;

mk4:

ifcnq3<

2500then

cnq3<

=cnq3+1;

clkjs3<

=not(clkjs3);

FP100HZout<

=clkjs3;

mk5:

then

ifcnq4<

250000000then

cnq4<

=cnq4+1;

cnq4<

clkjs4<

=not(clkjs4);

FP1HZout<

=clkjs4;

end;

entityxiaodouis

port(

clk:

din:

dout:

outstd_logic

);

endxiaodou;

architecturearcofxiaodouis

process(clk,din)

variabletemp:

integer;

ifclk'

if(din='

0'

)then

temp:

iftemp>

15then

dout<

='

;

=temp+1;

endprocess;

endarc;

useieee.std_logic_arith.all;

entitychepaiis

port(--clk:

instd_logic;

--时钟输入

key:

instd_logic_vector(7downto0);

--键入

--l:

outstd_logic_vector(7downto0);

--输出数码管位选

chep:

outstd_logic_vector(11downto0)--数码管段码

);

ENDchepai;

architectureanofchepaiis

signalclock:

std_logic;

--分频后时钟

signalp:

integerrange0to255;

signalb0,b1,b2:

integerrange0to9;

--显示数位寄存器,b0表示个位,

signalcnt:

integerrange0to3:

--数码管位选扫描程序

p<

=conv_integer(key);

--数据输入

process(p)--显示查表进程

functionb_to_s4(bcd8421:

integer0tO9)returnstd_logic_vectoris--BCDstd_logic_vector

variablesmg4:

std_logic_vecto(3downto0);

casebcd8421IS--计算输出值

WHEN0=>

smg4:

="

0000"

--0--gfedcba共阳

WHEN1=>

0001"

--1

WHEN2=>

0010"

--2

WHEN3=>

0011"

--3

WHEN4=>

0100"

--4

WHEN5=>

0101"

--5

WHEN6=>

0110"

--6

WHEN7=>

0111"

--7

WHEN8=>

1000"

--8

WHEN9=>

1001"

--9

whenothers=>

null;

ENDCASE;

RETURNsmg4;

ENDb_to_s4;

casepis

when0|10|20|30|40|50|60|70|80|90|100|110|120|130|140|150|160|170

|180|190|200|210|220|230|240|250=>

b0<

when1|11|21|31|41|51|61|71|81|91|101|111|121|131|141|151|161|171

|181|191|201|211|221|231|241|251=>

=1;

when2|12|22|32|42|52|62|72|82|92|102|112|122|132|142|152|162|172

|182|192|202|212|222|232|242|252=>

=2;

When3|13|23|33|43|53|63|73|83|93|103|113|123|133|143|153|163|173

|183|193|203|213|223|233|243|253=>

=3;

When4|14|24|34|44|54|64|74|84|94|104|114|124|134|144|154|164|174

|184|194|204|214|224|234|244|254=>

=4;

When5|15|25|35|45|55|65|75|85|95|105|115|125|135|145|155|165|175

|185|195|205|215|225|23

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 党团工作 > 党团建设

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1