数电电子实训文档格式.docx

上传人:b****5 文档编号:19656907 上传时间:2023-01-08 格式:DOCX 页数:17 大小:232.01KB
下载 相关 举报
数电电子实训文档格式.docx_第1页
第1页 / 共17页
数电电子实训文档格式.docx_第2页
第2页 / 共17页
数电电子实训文档格式.docx_第3页
第3页 / 共17页
数电电子实训文档格式.docx_第4页
第4页 / 共17页
数电电子实训文档格式.docx_第5页
第5页 / 共17页
点击查看更多>>
下载资源
资源描述

数电电子实训文档格式.docx

《数电电子实训文档格式.docx》由会员分享,可在线阅读,更多相关《数电电子实训文档格式.docx(17页珍藏版)》请在冰豆网上搜索。

数电电子实训文档格式.docx

六、PCB电路图设计15

6.1、设计过程15

七、实验总结……………………………………………………………………………………………………………………………16

基本逻辑门电路的VHDL设计

1.1、与非门

1.1.1、设计过程

1、使用VHDL硬件描述语言输入。

2、进行编译,仿真。

3、确认仿真结果是否正确,若正确,再使用Quartusll软件将文件下载到试验箱的EPF10K20RC208-4芯片中进行验证,2个数据开关表示输入,1个LED灯表示输出(灯亮表示0,灯灭表示1)。

1.1.2、输入与非门的VHDL描述

libraryieee;

useieee.std_logic_1164.all;

entitynand2_lab1is

port(a,b:

instd_logic;

y:

outstd_logic);

endnand2_lab1;

architectureoneofnand2_lab1is

begin

y<

=anandb;

endone;

1.1.3、仿真波形

1.2、异或门

1.2.1设计过程

2、进行编译,仿真。

3、确认仿真结果是否正确,若正确,再使用Quartusll软件将文件下载到试验箱的EPF10K20RC208-4芯片中进行验证,2个数据开关表示输入,一个LED灯表示输出(灯亮表示0,灯灭表示1)。

1.2..2、异或门的VHDL语言描述

entityxor2_labis

endxor2_lab;

architectureoneofxor2_labis

begin

=axorb;

1.2.3、结果仿真波形

组合逻辑电路

2.1、8线/3线优先编码器

2.1.1、设计过程

1、使用VHDL硬件描述语言输入。

3、确认仿真结果是否正确。

4使用Quartusll软件将文件下载到试验箱的EPF10K20RC208-4芯片中进行验证,8个数据开关表示输入,3个LED灯表示输出(灯亮表示0,灯灭表示1)。

2.1.2、8线/3线优先编码器的VHDL语言描述

entityencoder83is

port(d:

instd_logic_vector(7downto0);

encode:

outstd_logic_vector(2downto0));

endencoder83;

architectureoneofencoder83is

begin

encode<

="

111"

whend(7)='

1'

else

"

110"

whend(6)='

101"

whend(5)='

100"

whend(4)='

011"

whend(3)='

010"

whend

(2)='

001"

whend

(1)='

000"

whend(0)='

;

2.1.3、波形仿真

2.2、3线-8线优先编码器

2.2.1、设计过程

1、用VHDL硬件描述语言输入。

3、确认仿真结果是否正确,若正确,再使用Quartusll软件将文件下载到试验箱的EPF10K20RC208-4芯片中进行验证,3个数据开关表示输入,8个LED灯表示输出(灯亮表示0,灯灭表示1)。

2.2.2、3线-8线优先编码器的VHDL语言描述

useieee.std_logic_1164.all;

entitydecoder38is

port(a:

instd_logic_vector(2downto0);

outstd_logic_vector(7downto0));

enddecoder38;

architectureoneofdecoder38is

process(a)

caseais

when"

=>

y<

00000001"

00000010"

00000100"

00001000"

00010000"

00100000"

01000000"

10000000"

whenothers=>

null;

endcase;

endprocess;

endone;

2.2.3、波形仿真

2.3、7段数码显示译码器

2.3.1、

3、确认仿真结果是否正确,若正确,再使用Quartusll软件将文件下载到试验箱的EPF10K20RC208-4芯片中进行验证,4个数据开关表示输入,数码管表示输出。

2.3.2、7段数码显示译码器的VHDL语言描述

entityleddecoderis

port(a3,a2,a1,a0:

ya,yb,yc,yd,ye,yf,yg:

endleddecoder;

architectureled_arcofleddecoderis

signala:

std_logic_vector(3downto0);

signaly:

std_logic_vector(6downto0);

a<

=a3&

a2&

a1&

a0;

ya<

=y(6);

yb<

=y(5);

yc<

=y(4);

yd<

=y(3);

ye<

=y

(2);

yf<

=y

(1);

yg<

=y(0);

withaselect

0000001"

when"

0000"

1001111"

0001"

0010010"

0010"

0000110"

0011"

1001100"

0100"

0100100"

0101"

0100000"

0110"

0001111"

0111"

0000000"

1000"

0000100"

1001"

0001000"

1010"

1100000"

1011"

0110001"

1100"

1000010"

1101"

0110000"

1110"

0111000"

1111"

1111111"

whenothers;

endled_arc;

2.3.3、波形仿真

时序逻辑电路

3.1、4位二进制加法计数器

3.1.1、设计过程

3、确认仿真波形是否正确。

4、使用Quartusll软件将文件下载到试验箱的EPF10K20RC208-4芯片中进行验证,时钟脉冲cp使用79号引脚的单时钟脉冲表示输入,4个LED灯表示输出。

3.1.2、4位二进制加法计数器的VHDL语言描述

useieee.std_logic_arith.all;

useieee.std_logic_unsigned.all;

entitycnt4is

port(clk:

q:

bufferstd_logic_vector(3downto0));

endcnt4;

architecturebhvofcnt4is

process(clk)

ifclk'

eventandclk='

then

q<

=q+1;

endif;

endbhv;

3.1.3、波形仿真

3.2、十进制计数器

3.2.1、设计过程

3.2.2、十进制计数器的VHDL语言描述

entitycount10is

port(cp:

outstd_logic_vector(3downto0));

endcount10;

architectureoneofcount10is

signalcount:

process(cp)

ifcp'

eventandcp='

ifcount="

count<

elsecount<

=count+1;

=count;

3.2.3、波形仿真

3.3、4位基本寄存器

3.3.1、设计过程

4、使用Quartusll软件将文件下载到试验箱的EPF10K20RC208-4芯片中进行验证,时钟脉冲cp使用79号引脚的单时钟脉冲reset:

清零端,用1个数据开关表示,data:

数据写入,用4个数据开关表示,4个LED灯表示输出。

3.3.2、4位基本寄存器的VHDL语言描述

entityregisterbis

port(cp,reset:

data:

instd_logic_vector(3downto0);

endregisterb;

architectureoneofregisterbis

ifreset='

else

=data;

3.3.3、波形仿真

原理图设计

4.1、以1000分频器作为底层文件,构成4*10^6分频器

4.1.1、设计过程

1、用Quartusll软件制作1000分频器原理图;

2、选用三个74160构成1000分频器;

3、1000分频器编译完成后,将其创建成底层文件;

4、调用两个1000分频器的底层文件,和一个74161,构成4*10^6分频器;

5、4*10^6分频器编译完成后,clk时钟脉冲输入端选择试验箱上的183号引脚的石英晶体振荡器M3,使能端EN选用一个数据开关表示,输出用一个LED灯表示。

4.1.2、逻辑图

图表14*10^6分频器

图表21000分频器

提高性实验

抢答器设计

5.1.1、设计过程

1.用3线-8线有线编码器作为7路输入,按键复位RET。

2.4D锁存器锁存输出信号。

3.数码显示器将输出的信号通过数码管显示出来。

5.1.2、设计图

5.2、设计说明

抢答开始前,电路复位,即RS触发器和锁存器清零;

抢答开始后,优先编码器对抢答成功者编码,锁存并显示抢答成功者的号码。

锁存器的脉冲信号由优先编码器的GS(优先编码器的标志位)提供,并保证只提供一个触发脉冲,使得锁存器仅能锁存抢答成功者的编码。

5.3、抢答器输入端说明

N0-N7:

接数据开关S1-S8,对应引脚75-67。

O1-O7:

接数码管L25,对应引脚150-162。

RET:

接按键S9,对应引脚87。

六、PCB电路图设计

6.1、设计过程

1、在altiumdesigner环境下,创建一个电气原理图;

2、选择两个20nf电容,两个1k电阻,两个100k电阻,两个2N3904三极管,一个插针;

3、按一定的连接方式进行连接;

6.2、设计原理图

图表6电路板图

图表7电路原理图

七、实验总结

通过这次实训自己真正的将实践与知识结合在了一起,自己从一开始的对quartus软件的完全不懂到后来的自己通过这个软件设计提高性实验,自己从中学到了很多的对自己实践有帮助的知识。

通过这个实训自己也总结了一些经验:

首先,自己最深的体会是编程序时一定要认真仔细,可能就是一个标点符号的缺少就会导致程序编译不出来,自己在编程序时一定要养成良好的习惯,注意程序的层次,标点符号,这样在编程时才可能尽少的出现错误。

第二,顶层文件的实体名只能有一个,而且注意符号文件不能与顶层文件的实体名相同。

第三,仿真时间区域的设定与输入波形周期的设定一定要协调,否则得到的波形可能不便于观察或发生错误。

第四,自己在编程序的时候可能会出现很多的错误,自己可能始终找不出来,这时自己要学会于他人交流,自己遇到的困难他人就可能会解决。

不至于耗费自己很多时间也找不出问题所在。

实践中我们可能会遇到很多困难,而这些在课本上是完全学不来的,自己在试验中,遇到困难,解决困难,从中总结经验。

还有就是自己在做完试验后要学会与他人交流经验,学习他人程序设计的优点来弥补自己的不足,学习他人的设计方法。

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 表格模板 > 表格类模板

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1