EDA音乐发生器课程设计报告书文档格式.docx

上传人:b****6 文档编号:19121068 上传时间:2023-01-04 格式:DOCX 页数:19 大小:283.64KB
下载 相关 举报
EDA音乐发生器课程设计报告书文档格式.docx_第1页
第1页 / 共19页
EDA音乐发生器课程设计报告书文档格式.docx_第2页
第2页 / 共19页
EDA音乐发生器课程设计报告书文档格式.docx_第3页
第3页 / 共19页
EDA音乐发生器课程设计报告书文档格式.docx_第4页
第4页 / 共19页
EDA音乐发生器课程设计报告书文档格式.docx_第5页
第5页 / 共19页
点击查看更多>>
下载资源
资源描述

EDA音乐发生器课程设计报告书文档格式.docx

《EDA音乐发生器课程设计报告书文档格式.docx》由会员分享,可在线阅读,更多相关《EDA音乐发生器课程设计报告书文档格式.docx(19页珍藏版)》请在冰豆网上搜索。

EDA音乐发生器课程设计报告书文档格式.docx

libraryieee;

useieee.std_logic_1164.all;

entitytoneis

port(key:

instd_logic_vector(6downto0);

toneindex1:

outstd_logic_vector(3downto0));

end;

architectureoneoftoneis

begin

search:

process(key)

begin

casekeyis

when"

0000001"

=>

toneindex1<

="

0001"

;

0000010"

0010"

0000100"

0011"

0001000"

0100"

0010000"

0101"

0100000"

0110"

1000000"

0111"

whenothers=>

0000"

endcase;

endprocess;

仿真图如图3-6所示:

在此仿真图中不同的key相当于对应不同的琴键,当按下不同的琴键时输出相对应的toneindex1,toneindex1在输入音调编码器中进行下一步编码。

3.3.3手动、自动选择模块(mux)

根据设计的要求,该简易乐曲演奏器能实现手动或自动演奏乐曲的功能。

于是,可通过一个按键cs来进行自动与手动的选择,当cs按下时,乐曲自动演奏,其他情况下均为手动演奏乐曲,即可以通过按下其他的按键(与cs相连的按键除外)来控制不同的音符。

与此同时,还需要一个复位信号rst来控制该演奏器是否工作,当rst为1时,停止演奏,为0时,可以演奏。

以上提到的手动与自动的选择只能在rst为0时有效。

模块图形如图3-7所示:

图3-7mux模块图形

entitymuxis

port(rst:

instd_logic;

index1:

instd_logic_vector(3downto0);

index2:

cs:

toneindex:

architecturearc_muxofmuxis

process(cs)

ifrst='

1'

thentoneindex<

else

casecsis

when'

0'

toneindex<

=index1;

=index2;

=null;

endif;

endarc_mux;

仿真波形图如图3-8所示:

此仿真图中输入cs代表手动\自动演奏的选择端,输入rst代表整体复位端,输入index1、index2分别代表手动和自动要演奏的音符,输出q代表经过选择后,要演奏的或是手动输入或是自动输入的音符。

由此仿真图可清楚的看到当rst=1时,不论选择的是手动还是自动,输出都为零,达到了整体复位的功能;

当rst=0且cs=1时,自动演奏乐曲,因为q与index2的值相同;

当rst=0且cs=0时,手动演奏乐曲,因为这时的q与index1的值相同,从而也达到了演奏方式选择的功能。

3.3.4音调编码器(tonetaba)

模块图形如图3-9所示:

见附录

仿真图形如图3-10所示:

图3-10tonetaba仿真波形图

在此仿真图中从上到下依次代表输出code、high、输入index和输出tone。

通过此仿真图能清楚的看到当音符分别为4、10、8、15时,它们所对应的分频预置数tone分别是1116、1542、1410、1728;

所对应的音谱code分别是4、3、1、1。

其中code、high1能分别在数码管和led上显示,而tone则输入到数控分频模块作为分频的依据。

于是,由仿真图印证了音调编码模块传送预置数及显示功能。

3.3.5数控分频器(speakera)

将芯片上提供的50MHz的时钟分频为12MHz和8Hz的时钟,分别供计数器与分频驱动器(数控分频器)使用。

1模块图形:

模块图形如图3-11所示:

图3-11speakera模块图形

LIBRARYIEEE;

USEIEEE.STD_LOGIC_1164.ALL;

USEIEEE.STD_LOGIC_UNSIGNED.ALL;

ENTITYSpeakeraIS

PORT(clk:

INSTD_LOGIC;

Tone:

INSTD_LOGIC_VECTOR(10DOWNTO0);

SpkS:

OUTSTD_LOGIC);

END;

ARCHITECTUREoneOFSpeakeraIS

SIGNALPreCLK,FullSpkS:

STD_LOGIC;

BEGIN

DivideCLK:

PROCESS(clk)

VARIABLECount4:

STD_LOGIC_VECTOR(3DOWNTO0);

BEGIN

PreCLK<

='

IFCount4>

11THENPreCLK<

Count4:

="

ELSIFclk'

EVENTANDclk='

THENCount4:

=Count4+1;

ENDIF;

ENDPROCESS;

GenSpkS:

PROCESS(PreCLK,Tone)

VARIABLECount11:

STD_LOGIC_VECTOR(10DOWNTO0);

IFPreCLK'

EVENTANDPreCLK='

THEN

IFCount11=16#7FF#THENCount11:

=Tone;

FullSpkS<

ELSECount11:

=Count11+1;

DelaySpkS:

PROCESS(FullSpkS)

VARIABLECount2:

IFFullSpkS'

EVENTANDFullSpkS='

THENCount2:

=NOTCount2;

IFCount2='

THENSpkS<

ELSESpkS<

仿真图如图3-12所示:

图3-12speakera仿真波形图

在此仿真图中,输入clk是一个频率较大的时钟信号,输入tone代表着某个音符的分频预置数,输出spks则代表将输入clk先经过12次分频,再经过(预置数终值2048-tone)次分频,最终在进行二分频处理后的信号,而这个信号的频率就是我们需要演奏的音谱的频率,根据频率的不同,从而能通过喇叭听到不同的声音,这就是我们一直想要演奏的乐曲了。

3.4硬件设计及仿真分析

3.4.1顶层音乐演奏器原理图

经过了各个子模块的分析与验证后,我们只需将各个子模块之间的输入输出端、子模块与整体电路之间的输入输出端进行正确的硬件连接就得到了顶层音乐演奏器的原理图,如图3-13

图3-13自行连接的音乐演奏器原理图

3.4.2顶层文件仿真波形分析

首先,介绍一下总体程序中各个引脚的作用及硬件连接情况:

输入clk8Hz是一个频率较小的时钟信号,在进行硬件下载时它与实验箱上标准时钟信号源模块的8Hz频率相连,它决定着乐曲演奏的快慢;

输入clk12mHz是一个频率较大的时钟信号,因为要对它进行多次不同的分频,下载时它与实验箱上标准时钟信号源模块的20MHz频率相连;

输入key[6..0]是当手动演奏时自己确定的音符,;

输入rst和cs分别是整体复位端和演奏方式选择端;

输出code1和high1分别用来显示音谱与高中低音,它们分别与实验箱上的数码管1和led1相连;

输出spkout要与实验箱上的喇叭相连,用来发出声音。

其次,顶层电路的仿真波形图是否正确依赖于各个子模块的功能是否完善,同时顶层电路的功能实现又验证了各个子模块的正确性,二者相互依存。

最后,由仿真波形图印证了整体电路的功能

3.4.3顶层文件的管脚分配以及硬件连接图

下载到硬件时管脚的连接图如图3-14

硬件的连接图如图3-15所示:

图3-15硬件连接图

对应着管脚分配图和硬件连接图可看出硬件芯片分别连接了上方的七段数码管,左方的7个白色按键key和标准时钟信号源,下方的led灯,蜂鸣器和右下方的三个拨码开关。

4设计总结

1本次简易乐曲演奏器的设计经过了整体分析、模块化分析、整体与模块的仿真分析这样三个步骤,硬件实现了整体复位、按键选择演奏方式、循环演奏以及数码管显示乐谱的功能。

2在做数控分频器模块的仿真时一定要处理好时序问题。

3本文介绍了基于FPGA的音乐硬件演奏电路的设计,实现了一个乐曲播放器,乐曲演奏器的设计经过了整体分析、模块化分析、整体与模块的仿真分析这样三个步骤,硬件实现了循环演奏以及数码管显示乐谱的功能,描述了其工作原理、设计思路及实现方法,并在QuartusII上选用目标芯片仿真实现了音乐硬件演奏电路的功能。

实践证明:

采用FPGA设计实现音乐硬件演奏电路的可行性和可靠性,而且更改乐曲容易,可根据需要修改ROM中的音符数据文件,从而使电路实现任一曲子的播放。

这种基于FPGA的音乐硬件演奏电路的设计与实现不仅通过VHDL层次化和模块化,同时采用数控分频的设计思想,更好的优化了乐曲演奏数字电路的设计,在此基础上不必变化顶层文件架构可随意变更乐曲,有效缩短了产品开发周期、减少了设计芯片的数量、降低了功耗、提高了设计的灵活性、可靠性和可扩展性本次设计可以说达到了设计要求,但尚有需要改进的地方。

随着乐谱的复杂程度加大,如果依然在音调发生器的程序中通过时钟计数来决定音符的输出,会加大编程的繁杂度,这时一个很好的解决办法就是把将要演奏的乐谱存放在人为开辟的存储空间里,这样只需要在相应地址中读出音符即可。

5设计心得与感想

在这2周里我用EDA的知识完成了乐曲硬件演奏电路的设计,这使我对这门课程有了更深一步的了解。

随着科学技术的发展,电子信息技术与人们的生活已经分不开,可以说人们走到哪里都离不开电子产品,如我们常遇到的交通信号灯,出租车计价器,家用电器更不用说。

我很荣幸能选择到这个专业学习,我想我会在今后的工作生活中,能用所学的知识为人们创造出更多更方便的东西来满足人们的需要。

在这2周里,我通过查找资料,向老师请教等完成了乐曲硬件演奏电路的设计,以前在课堂上只是听老师说EDA是门很常用的学科,能完成各种仪器的编程等,但从来没有应用到实践中来,所以我以前对这门课感到很陌生,不知道怎么才能将这门课应用到实践。

不过在这2周里,我认真的查找资料,上机调试,使我对这门课有了更进一步的认识,它功能强大,应用到的范围也非常的广,因此我很高兴能学到这么一门有用的学科。

通过这2周的时间,我把以前学过的知识从理论应用到了实践,这大大培养了我独立思考的能力和动手能力,也锻炼了我遇到困难不要受到挫折的心理,我感到个人能力又得到了提高。

总之,这次课程设计为我以后的工作奠定了坚实的基础,充分锻炼了我的个人能力,作为电子信息工程专业的一名学生,我会在以后的工作生活中不段磨练自己,为社会创造出更多有益于人们生活的产品来。

6参考文献

[1].潘松、黄继业等编著.EDA技术与VHDL[M].北京:

清华大学出版社

[2].边计年主编.用VHDL设计电子线路[M].北京:

清华大学出版社

[3].王金明,杨吉斌等编著.数字系统设计与VerilogHDL[D].北京:

电子工业出版社

[4].徐志军,徐光辉等编著.CPLD/FPGA开发与应用[M].北京:

[5].潘松,黄继业等编著.EDA技术实用教程(第2版)[M].北京:

科学出版社

[6].叶天迟主编.EDA实用技术实验及课程设计指导书[M].长春:

自编实验指导书

附录

1notetabs程序

entitynotetabsis

CLK:

d:

toneindex2:

endnotetabs;

architectureoneofnotetabsis

signalcounter1:

integerrange0to269;

signalcounter2:

integerrange0to163;

cnt8:

process(clk)

thencounter1<

=0;

counter2<

elsifcounter1=269then

counter1<

elsifcounter2=163then

elsifclk'

eventandclk='

then

=counter1+1;

=counter2+1;

process(counter1,counter2)

ifd='

casecounter1is

when0=>

toneindex2<

when1=>

when2=>

when3=>

when4=>

1010"

when5=>

when6=>

when7=>

when8=>

when9=>

when10=>

when11=>

when12=>

when13=>

when14=>

when15=>

when16=>

when17=>

when18=>

1001"

when19=>

when20=>

1000"

when21=>

when22=>

when23=>

when24=>

when25=>

when26=>

when27=>

when28=>

when29=>

when30=>

when31=>

when32=>

when33=>

when34=>

when35=>

when36=>

when37=>

when38=>

when39=>

when40=>

when41=>

when42=>

when43=>

when44=>

when45=>

when46=>

when47=>

when48=>

when49=>

when50=>

when51=>

when52=>

when53=>

when54=>

when55=>

when56=>

when57=>

when58=>

when59=>

when60=>

when61=>

when62=>

when63=>

when64=>

when65=>

when66=>

when67=>

when68=>

when69=>

when70=>

when71=>

when72=>

when73=>

when74=>

when75=>

when76=>

when77=>

when78=>

when79=>

when80=>

when81=>

when82=>

when83=>

when84=>

when85=>

when86=>

when87=>

when88=>

when89=>

when90=>

when91=>

when92=>

when93=>

when94=>

when95=>

when96=>

when

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 自然科学 > 化学

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1