数字逻辑与数字系统设计教学大纲.docx

上传人:b****1 文档编号:183371 上传时间:2022-10-05 格式:DOCX 页数:6 大小:16.24KB
下载 相关 举报
数字逻辑与数字系统设计教学大纲.docx_第1页
第1页 / 共6页
数字逻辑与数字系统设计教学大纲.docx_第2页
第2页 / 共6页
数字逻辑与数字系统设计教学大纲.docx_第3页
第3页 / 共6页
数字逻辑与数字系统设计教学大纲.docx_第4页
第4页 / 共6页
数字逻辑与数字系统设计教学大纲.docx_第5页
第5页 / 共6页
点击查看更多>>
下载资源
资源描述

数字逻辑与数字系统设计教学大纲.docx

《数字逻辑与数字系统设计教学大纲.docx》由会员分享,可在线阅读,更多相关《数字逻辑与数字系统设计教学大纲.docx(6页珍藏版)》请在冰豆网上搜索。

数字逻辑与数字系统设计教学大纲.docx

西安电子科技大学

“卓越工程师教育培养计划”试点课程教学大纲

课程编号:

OE2121017

课程名称:

数字逻辑与数字系统设计 英文名称:

DigitalLogicandDigital

System Design

学 时:

60 学 分:

4

课程类型:

必修 课程性质:

专业基础课适用专业:

电子信息与通信工程(大类)开课学期:

4

先修课程:

高等数学、大学物理、电路分析与模拟电子线路开课院系:

电工电子教学基地及相关学院

一、课程的教学任务与目标

数字逻辑与数字系统设计是重要的学科基础课。

该课程与配套的“数字逻辑与数字系统设计实验”课程紧密结合,以问题驱动、案例教学、强化实践和能力培养为导向,通过课程讲授、单元实验、综合设计项目大作业、设计报告撰写、研讨讲评等环节,实现知识能力矩阵中1.1.2.2、1.2.1.2以及2.5、2.6、3.6、4.1、4.2的能力要求。

要求学生掌握数字电路的基本概念、基本原理和基本方法,了解电子设计自动化

(EDA:

ElectronicDesignAutomation)技术和工具。

数字电路部分要求学生掌握数制及编码、逻辑代数及逻辑函数的知识;掌握组合逻辑电路的分析与设计方法,熟悉常用的中规模组合逻辑部件的功能及其应用;掌握同步时序逻辑电路的分析和设计方法,典型的中大规模时序逻辑部件。

EDA设计技术部分,需要了解现代数字系统设计的方法与过程,学习硬件描述语言,了解高密度可编程逻辑器件的基本原理及开发过程,掌握EDA设计工具,培养学生设计较大规模的数字电路系统的能力。

本课程教学特点和主要目的:

(1)本课程概念性、实践性、工程性都很强,教学中应特别注重理论联系实际和工程应用背景。

(2)使学生掌握经典的数字逻辑电路的基本概念和设计方法;

(3)掌握当今EDA工具设计数字电路的方法。

(4)本课将硬件描述语言(HDL)融合到各章中,并在软件平台上进行随堂仿真,通

过本课和实验教学,使学生掌握新的数字系统设计技术.

虽然现代设计人员已经很少使用传统的设计技术,但传统的设计可以让学生直观地了解数字电路是如何工作的,并可以为EDA设计工具所进行的操作提供说明,让学生进一步了解自动化设计技术的优点。

成功的逻辑电路设计人员必须深入理解数字逻辑设计相关的基本概念,并熟练掌握

EDA设计工具的使用。

二、本课程与其它课程的联系和分工

数字逻辑与数字系统设计主要讨论集成电路器件的外部特性,对门电路内部晶体管的工作原理及状态转换只作定性了解。

数字逻辑与数字系统设计在学科基础中的地位既要体现作为一门课程的完整性和电子线路体系结构的特点,也要体现为后续课程服务的目的。

后续的专业课程如计算机组成原理,微机原理、接口技术等都是数字电路系统高度集成的体现。

数字电路与系统设计为微处理器与系统设计、嵌入式系统、数字通信等后续课程进行了基础知识准备。

三、课程内容及基本要求

(一)数制与编码(建议3学时)学习数制表示方法和常用编码1.基本要求

(1)掌握常用数制(2、8、10、16进制数)的表示方法与相互转换方法

(2)掌握常用编码(842BCD码、5421BCD码、余3码、格雷码等)的表示方法

2.重点、难点

重点:

二进制,十六进制难点:

格雷码的掌握

3.说明:

主要掌握常用编码的表示方法

(二)逻辑代数与逻辑函数化简(建议10学时)

学习逻辑代数的基本运算及函数表示方式,了解逻辑函数的化简方法;学习硬件描述语言(HDL)描述逻辑函数的基本结构,熟悉逻辑函数与HDL之间的对应关系。

1 .基本要求

(1)熟练掌握基本逻辑运算与逻辑门

(2)了解逻辑代数的基本定理、法则和主要公式,了解逻辑函数代数化简法

(3)掌握逻辑函数的标准表达式和常用的五种表达式及相互转换方法

(4)能够用HDL描述真值表,熟悉逻辑函数的HDL表达方式

(5)熟悉逻辑函数的卡诺图化简法

(6)掌握包含无关项逻辑函数的表示方法及化简方法

2.重点、难点

重点:

逻辑函数的两种标准表示形式以及HDL表达方式难点:

五种表达式之间的相互转化

3.说明:

5个变量以上的逻辑函数化简不作要求

(三)组合逻辑电路(建议10学时)

学习组合逻辑电路的分析方法和设计方法,学习组合逻辑的HDL描述方式

1.基本要求

(1)掌握组合电路的分析方法和设计方法

(2)熟悉组合逻辑电路的HDL设计方法,掌握设计流程图的绘制方法

(3)熟悉常用MSI组合逻辑部件(变量译码器、数据选择器)的逻辑功能,扩展方法及应用

(4)掌握译码器、数据选择器的HDL描述方式

(5)掌握由MSI器件构成组合电路的设计方法和分析方法

(6)了解组合电路的竞争冒险现象及消除方法

2.重点、难点

重点:

由门电路进行组合电路的设计难点:

中规模集成电路芯片应用

3. 说明:

安排组合逻辑研究实验

(四)触发器(建议6学时)

学习触发器的工作原理和功能描述方法,学习触发器的HDL描述方法

1.基本要求

(1)掌握基本RS触发器及常用沿触发的(D、T、JK)触发器的逻辑功能及其描述方法

(2)触发器的HDL描述方法(包括行为级描述和结构化描述)

(3)熟悉常用集成触发器的逻辑符号及时序图的画法

(4)掌握触发器的HDL描述方法中的沿触发与电平触发

2.重点、难点

重点:

触发器的多种描述方法

难点:

触发器电路的HDL描述及时序波形3.说明:

触发器部分要求记忆逻辑符号掌握逻辑功能,对触发器内部电路不做要求,安排集成触发器实验。

(五)时序逻辑电路(建议16学时)

学习同步时序电路的分析方法和典型同步时序电路的设计方法,时序电路的HDL描述。

1.基本要求

(1)掌握同步时序电路的分析方法,要求根据电路能正确列出状态表,画出状态及时序图并分析其功能

(2)了解同步时序电路的一般设计方法和步骤,掌握给定状态同步时序电路的设计方法

(3)掌握时序电路的HDL描述方法

(4)掌握典型MSI时序逻辑部件(74LS161、74LS194)的逻辑功能,扩展方法及应用

(5)学习状态机的HDL描述方法,并掌握复杂时序逻辑电路的HDL描述方法

(6)掌握以MSI为主的典型同步时序电路的分析方法与设计方法:

任意模值计数器;移位型计数器;序列码发生器

(7)掌握典型时序电路的HDL描述方法

(8)了解异步时序电路的主要特点

2.重点、难点

重点:

电路自启动自校正的设计;MSI时序逻辑部件的逻辑功能及应用难点:

时序逻辑点状态机HDL描述

3.说明:

学习这一章后,要求能看懂器件手册,安排计数器和移位寄存器应用实验。

(六)集成逻辑门(建议3学时)

1.基本要求

(1)了解典型TTL与非门的基本工作原理,掌握其主要外特性和参数

(2)掌握集电极开路门和三态门的主要特点

(3)掌握MOS逻辑门(以CMOS为主)的主要特点和使用方法

2.重点、难点

重点:

TTL与非门的主要外特性和参数难点:

集电极开路门

(七)脉冲波形的产生与整形(建议3学时)

了解脉冲电路的分析方法

1.基本要求

(1)了解典型脉冲电路(单稳、多谐、施密特触发器)的基本特点及脉冲电路的分析方法

(2)掌握555定时器的基本工作原理及典型应用

(3)掌握晶体振荡器,施密特单稳集成电路的基本原理及使用方法。

2.重点、难点

重点:

555定时器

难点:

振荡电路性能提高需要考虑的因素

3.说明:

安排脉冲电路的产生和整形实验。

(八)存贮器及可编程器件(建议4学时)

1.基本要求

(1)掌握ROM的基本工作原理和几种不同的编程方法

(2)了解静态RAM和动态RAM的基本工作原理

(3)了解可编程器件的内部结构特点,可用资源,主要参数和选型依据

(4)结合实验,逐步掌握FPGA的仿真与设计技术

2.重点、难点

重点:

ROM的基本工作原理,FPGA的仿真与设计技术难点:

ROM实现组成逻辑函数,FPGA的仿真与设计技术

(九)D/A和A/D(建议1学时)

1.基本要求

(1)了解D/A和A/D转换器的基本原理和主要技术指标

(2)了解典型集成D/A和A/D芯片的特点

2.重点、难点

重点:

D/A和A/D转换器的主要技术指标难点:

D/A和A/D转换器的基本原理

四、布置大作业

综合设计

(1) 用VHDL设计一数字频率计(结合实验在FPGA上实现)

综合设计

(2) 用VHDL设计一DDS信号发生器(结合实验在FPGA上实现)

系统设计完成通过EDA软件仿真后,在FPGA系统上实现验证,期间安排两次讨论。

第一次是设计方案评审和讲评,第二次的实现结果报告和讲评。

五、教学安排及方式

总学时60学时,讲课56学时。

讨论4学时。

实验单独开课,大作业采用开放式实验方式利用课外时间进行。

六、考核方式

1.期末笔试(以闭卷考试为主,也可开卷考试或半开卷半闭卷考试)占60%,

2.大作业 综合设计占30%,

3.平时成绩占10%

七、推荐教材与参考资料

教材:

(1)新编:

任爱锋,孙万蓉,周端等

(2)杨颂华等数字电子技术基础西安西安电子科技大学出版社2009

参考书:

(1)夏宇闻等译数字逻辑基础与Verilog设计机械工业出版社

(2)JohnF.Wakerly数字设计——原理与实践(第三版影印版)高等教育出版社

展开阅读全文
相关资源
猜你喜欢
相关搜索
资源标签

当前位置:首页 > 法律文书 > 辩护词

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1