EDA课程设计38译码器Word格式文档下载.docx

上传人:b****4 文档编号:17946833 上传时间:2022-12-12 格式:DOCX 页数:12 大小:1.98MB
下载 相关 举报
EDA课程设计38译码器Word格式文档下载.docx_第1页
第1页 / 共12页
EDA课程设计38译码器Word格式文档下载.docx_第2页
第2页 / 共12页
EDA课程设计38译码器Word格式文档下载.docx_第3页
第3页 / 共12页
EDA课程设计38译码器Word格式文档下载.docx_第4页
第4页 / 共12页
EDA课程设计38译码器Word格式文档下载.docx_第5页
第5页 / 共12页
点击查看更多>>
下载资源
资源描述

EDA课程设计38译码器Word格式文档下载.docx

《EDA课程设计38译码器Word格式文档下载.docx》由会员分享,可在线阅读,更多相关《EDA课程设计38译码器Word格式文档下载.docx(12页珍藏版)》请在冰豆网上搜索。

EDA课程设计38译码器Word格式文档下载.docx

前者又分为变量译码器和代码变换译码器。

变量译码器(又称二进制译码器),用以表示输入变量的状态,如2线-4线、3线-8线和4线-16线译码器。

若有n个输入变量,则有2n个不同的组合状态,就有2n个输出端供其使用。

而每一个输出所代表的函数对应于n个输入变量的最小项。

本次课程设计的题目为3-8译码器。

要求用掌握3-8译码器的构成、原理与设计方法;

熟悉quartus60软件的使用方法;

能用VHDL语言设计3-8译码器电路;

并仿真出3—8译码器的功能。

 

第二章3—8译码器

2.13—8译码器介绍

译码器属于组合逻辑电路,它的逻辑功能是将二进制代码按其编码时的原意译成对应的输出高、底电平信号,又叫解码器。

在数字电子技术中,它具有非常重要的地位,应用也很广泛。

它除了常为其它集成电路产生片选信号之外,还可以作为数据分配器、函数发生器用,而且在组合逻辑电路设计中它可替代繁多的逻辑门,简化设计电路。

这次我们运用的3线-8线译码器就是一个典型例子。

38译码器为3线-8线译码器,共有54/74S138和54/74LS138两种线路结构型式,其主要电特性的典型值如下:

当一个选通端(G1)为高电平,另两个选通端(/(G2A)和/(G2B))为低电平时,可将地址端(A、B、C)的二进制编码在一个对应的输出端以低电平译出。

利用G1、/(G2A)和/(G2B)可级联扩展成24线译码器;

若外接一个反相器还可级联扩展成32线译码器。

3—8译码器内部结构图如图2-1,其工作原理是当一个选通端(

)为高电平,另两个选通端((

)和(

))为低电平时,可将地址端(

)的二进制编码在一个对应的输出端以低电平译出。

图2-13—8译码器内部电路图

3—8译码器的真值表,如图2-2所示

图2-23—8译码器真值表图

无论从内部结构图还是真值表图我们都可以看到3-8译码器的八个输出管脚,任何时刻要么全为高电平1—芯片处于不工作状态,要么只有一个为低电平0,其余7个输出管脚全为高电平1。

如果出现两个输出管脚在同一个时间为0的情况,说明该芯片已经损坏。

当附加控制门的输出为高电平(S=1)时,可由图2-3逻辑图得出。

图2-3逻辑图

由上式可以看出,在同一个时间又是这三个变量的全部最小项的译码输出,所以也把这种译码器叫做最小项译码器。

3-8译码器有三个附加的控制端、和。

当、时,输出为高电平(S=1),译码器处于工作状态。

否则,译码器被禁止,所有的输出端被封锁在高电平,如表1所示。

这三个控制端也叫做“片选”输入端,利用片选的作用可以将多篇连接起来以扩展译码器的功能。

带控制输入端的译码器又是一个完整的数据分配器。

电路中如果把作为“数据”输入端(在同一个时间),而将作为“地址”输入端,那么从送来的数据只能通过所指定的一根输出线送出去。

这就不难理解为什么把叫做地址输入了。

例如当=101时,门的输入端除了接至输出端的一个以外全是高电平,因此的数据以反码的形式从输出,而不会被送到其他任何一个输出端上。

第三章程序设计及软件应用

3.1软件安装

quartus的安装还是比较简便的,但是还是需要注意几点。

图3-1程序开始

一直选择“next”,进行下一步,一直到如3-2所示。

图3-2程序安装界面

如果C盘空间充足的话,这个路径默认就好了,如果不足的话,可以选择自己想要的路径。

这里有一点补充说明,所有的路径必须是以英语字符或是下划线,不允许是出现数字和空格。

决定完路径之后,继续“next”。

图3-3程序安装界面

选择默认选择,并继续“next”。

然后进行安装。

图3-4程序安装完成界面

3.2程序设计

本次课程设计,是通过quartus软件进行3—8译码器的仿真实验。

在quartus中,我们运用的是VHDL语言进行编程。

在反复研究,推敲之后,采用如图3-5所示的的程序。

图3-5程序代码图

3.3程序调试

打开程序,熟悉一下界面,然后如图3-6,3-7所示,选择3-8的芯片,并确定保存路径,最后完成设置。

图3-6程序设置

图3-7程序设置

完成设置之后,回到最初的界面,新建VHDL空白页。

将编写好的程序输入进去。

如图3-8所示。

图3-8程序输入

接着进行编译,操作如下图3-9.

图3-8程序调试图

在编译的过程中,可能会出现报错,或是读条不满。

通过一次一次改错,最终还是调试成功了。

程序成功如图3-9所示。

图3-9程序完成图

完成程序的调试,就以为着,我们可以进行3-8译码器的调试。

接下来几个步骤,根据如图3-103-113-123-13所示。

图3-103-8译码器调试

图3-113-8译码器调试

图3-123-8译码器调试

图3-133-8译码器调试

通过上几步的调试,会出现一个波形图,如3-14所示。

图3-14波形图

之后,可以在这个波形的基础上,进行改动。

比如可以将低电平改成高电平,并观察波形图的变化,如图3-153-16所示。

图3-15改动后的波形图

3-8译码器设计,基本形成,还可以进行其他的调试。

本次设计的目的也达到了。

总结

本次课程是运用quartus软件,仿真出3-8译码器。

经过这一个星期努力,初步掌握quartus的安装,以及简单的应用,并成功的仿真出3-8译码器的波形图,还可以进行简单的改变,来丰富3-8译码器的作用。

更是让我深入的了解3-8译码器的作用,以及quartus更多的应用。

因为已经经历很多次课程设计,所以这次并没有像以前那样,无从下手。

在接到老师分发的题目后,井然有序的去图书馆查询相关资料,并在纸上练习该程序的代码编程。

做完前期工作之后,开始实际操作,发现VHDL的硬件编程优势,使用该语言描述的形式,大大缩短了开发周期,减少了开发难度,并使得系统更加灵活、稳健。

通过这次设计进一步培养了我对科学的认真,谨慎,耐心的态度,也同时为我以后的学习和工作打下了良好的基础。

在遇到问题时,不紧张,不害怕,不退缩,迅速找到解决问题的办法,坚持自己的理论,同时要抱着对科学认真负责的态度。

对今后的学习,有很大的帮助。

致谢

通过这次课程设计使我们对一个全新的领域——EDA技术有了初步的了解,也让我们对quartus有了一定的了解。

在这里感谢城建学院电气实验教学中心为我们提供资料与设备。

在课程设计当中离不开指导老师的耐性指导,在这里对指导老师表示深深的感谢和由衷的敬意。

参考文献

[1].《EDA技术与数字系统设计》[M],尹常永主编,西安电子科技大学出版社

[2].《电子技术基础—数字电子技术》[M],郝波主编,西安电子科技大学出版社

[3].《EDA技术与应用》[M],江国强主编,电子工业出版社

[4].《EDA工程方法学》[M],曾繁泰,陈美金,沈卫红,曾名主编,清华大学出版社

[5].《数字逻辑EDA设计与实践》[M],刘昌华主编,国防工业出版社

[6].《EDA技术及应用》[M],朱正伟王其红韩学超主编,清华大学出版社

[7].《EDA技术实用教程》[M],黄仁欣主编,北京:

清华大学出版社,2006

[8].《VHDL实用教程》[M],潘松主编,成都:

电子科技大学出版社,2000

[9].《现代电子技术-VHDL与数据系统设计》[J],杨刚,龙海燕编,北京:

电子工业出版社,2004

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 初中教育 > 初中作文

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1