EDA技术实验指导书Word文档下载推荐.docx

上传人:b****3 文档编号:15892242 上传时间:2022-11-16 格式:DOCX 页数:47 大小:655.79KB
下载 相关 举报
EDA技术实验指导书Word文档下载推荐.docx_第1页
第1页 / 共47页
EDA技术实验指导书Word文档下载推荐.docx_第2页
第2页 / 共47页
EDA技术实验指导书Word文档下载推荐.docx_第3页
第3页 / 共47页
EDA技术实验指导书Word文档下载推荐.docx_第4页
第4页 / 共47页
EDA技术实验指导书Word文档下载推荐.docx_第5页
第5页 / 共47页
点击查看更多>>
下载资源
资源描述

EDA技术实验指导书Word文档下载推荐.docx

《EDA技术实验指导书Word文档下载推荐.docx》由会员分享,可在线阅读,更多相关《EDA技术实验指导书Word文档下载推荐.docx(47页珍藏版)》请在冰豆网上搜索。

EDA技术实验指导书Word文档下载推荐.docx

8译码器是依此而来。

8译码器真值表如下表所示:

 

A2A1A0

Y7Y6Y5Y4Y3Y2Y1Y0

000

00000001

001

00000010

010

00000100

011

00001000

100

00010000

101

00100000

110

01000000

111

10000000

四、实验内容

把译码器的输入接到拨码开关,输出端接8个LED灯,通过拨码开关改变输入的逻辑电平变化来观察LED输出情况,验证3×

8译码器的工作状态。

五、实验要求

学习使用Max+PlusII的使用VHDL语言组成简单的数字逻辑电路。

六、设计框图及原理图

首先判断使能端口EN状态,当其满足高电平时,判断三个输入端口A2、A1、A0的状态来决定输出,如使能端口为低电平则固定输出不受三个逻辑输入A2、A1、A0的影响,使能有效时按照三个输入状态来决定八个输出的状态。

七、实验电路连线与使用操作

A0、A1、A2:

为独立扩展下载板上第53、47、46脚,内部已锁定,无需连线。

Y0、Y1、Y2、Y3、Y4、Y5、Y6、Y7:

为独立扩展下载板上的第12、13、14、15、17、18、19、24脚,内部已锁定,并已连接至“红色信号指示灯L1-8”的“L1~L8”。

EN:

为使能输入信号脚,定义在独立扩展下载板上第68脚,应接“多功能复用按键F1-F12”信号接线组“F1_12(T)”的F12~F9中任意一个引线插孔,即高电平有效。

使用操作:

使用拨码开关SW6、SW7、SW8来实现三个数字状态的开关量输入A2、A1、A0,通过“红色信号指示灯L1-8”的“L1~L8”8个LED指示灯来观察Y0~Y7的译码输出变化。

使能输入端口高电平有效。

八、波形仿真分析

输入信号:

使能信号高电平下工作,通过多功能复位按键F9~F12中任意一键来控制。

A2、A1、A0:

三个数字状态输入端口,决定八个状态输出情况,由8位数字开关组SW6、SW7、SW8分别对应A2、A1、A0来实现对Y0至Y7的译码输出。

输出信号:

Y0~Y7:

8个状态输出,输出点亮红色指示灯组L1~L8。

波形结果分析:

当A2、A1、A0对应于:

“100”时,Y(八位)输出“00010000”,当输入信号跳变为“000”时Y(八位)输出“00000001”。

满足设计要求。

九、VHDL语言源程序

LIBRARYIEEE;

USEIEEE.STD_LOGIC_1164.ALL;

ENTITYdemo1IS

PORT(A:

INSTD_LOGIC_VECTOR(2DOWNTO0);

EN:

INSTD_LOGIC;

Y:

OUTSTD_LOGIC_VECTOR(7DOWNTO0));

ENDdemo1;

ARCHITECTUREDEC_BEHAVEOFdemo1IS

SIGNALSEL:

STD_LOGIC_VECTOR(3DOWNTO0);

BEGIN

SEL(0)<

=EN;

SEL

(1)<

=A(0);

SEL

(2)<

=A

(1);

SEL(3)<

=A

(2);

WITHSELSELECT

Y<

="

00000001"

WHEN"

0001"

"

00000010"

0011"

00000100"

0101"

00001000"

0111"

00010000"

1001"

00100000"

1011"

01000000"

1101"

10000000"

1111"

11111111"

WHENOTHERS;

ENDDEC_BEHAVE;

实验二数码管扫描显示电路

1、了解时序电路设计。

2、制作一个数码管显示的7段译码电路,以备以后调用。

在电子电路显示部分里,发光二极管(LED)、七段显示数码管、液晶显示(LCD)均是十分常见的人机接口电路。

通常点亮一个LED所需的电流在5~20mA之间,电流愈大,LED的亮度也高,相对的使用寿命也愈短。

若以10mA导通电流来估算一个接5V的串接电阻值计算应为:

(5-1.6)/10mA≈0.34KΩ。

七段显示数码管分为共阳、共阴二种极性。

它们等效成八个LED相连电路。

共阴极七段显示器的LED位置定义和等效电路

共阴极七段显示码十六进制转换表

十六进制码

共阴极七段显示码

Num

D8

D4

D2

D1

g

f

e

d

c

b

a

1

2

3

4

5

6

7

8

9

A

B

C

D

E

F

用拨码开关产生8421BCD码,CPLD器件产生译码及扫描电路,把BCD码显示在LED数码管上,通过改变扫描频率观察数码管刷新效果。

学习在MAX+PLUSII中使用VHDL设计功能模块,并将所生成的功能模块转换成MAX+PLUSII原理图的符号库,以便在使用原理图时调用该库。

六、设计框图

◆动态共阴数码管扫描设计框图(程序为1-D)

◆静态共阳数码管扫描设计框图(程序为1-S)

七、原理图

◆动态共阴数码管(程序为1-D):

数码管扫描刷新模块

数码管显示驱动模块

在原理图方式中设计了两个模块,其中一个用于BCD码译码输出,转换成数码管的段码,数据输入端口为D[3..0],输出端口A~G通过数码管驱动电路分别驱动各段来点亮动态数码管。

数码管上显示的值为“8位数字开关组(A)”的“SW8~SW5”所输入的8421BCD码值的数值。

另外一个模块使用74161计数器进行数码管显示选择设定。

74161的输入端有时钟信号CLK和复位信号RESET,输出为数码管段位译码的输入信号SS0、SS1、SS2。

◆静态共阳数码管(程序为1-S):

八、实验电路连线与使用操作

◆动态共阴数码管实验电路连线(程序为1-D):

D0、D1、D2、D3:

分别为独立扩展下载板上第53、47、46、45脚,内部已锁定,并已连接至“8位数字开关组(A)”的“SW8~SW5”,无需连线。

A:

为独立扩展下载板上第86脚,应接“数码管段位引线”接线组“KPL_AH”的A

B:

为独立扩展下载板上第87脚,应接“数码管段位引线”接线组“KPL_AH”的B

C:

为独立扩展下载板上第88脚,应接“数码管段位引线”接线组“KPL_AH”的C

D:

为独立扩展下载板上第89脚,应接“数码管段位引线”接线组“KPL_AH”的D

E:

为独立扩展下载板上第90脚,应接“数码管段位引线”接线组“KPL_AH”的E

F:

为独立扩展下载板上第92脚,应接“数码管段位引线”接线组“KPL_AH”的F

G:

为独立扩展下载板上第93脚,应接“数码管段位引线”接线组“KPL_AH”的G

SS0:

为独立扩展下载板上第68脚,是数码管的位选扫描信号,接信号接线组“DS1-8A(T)”的引线插孔SS0。

SS1:

为独立扩展下载板上第69脚,是数码管的位选扫描信号,接信号接线组“DS1-8A(T)”的引线插孔SS1。

SS2:

为独立扩展下载板上第70脚,是数码管的位选扫描信号,接信号接线组“DS1-8A(T)”的引线插孔SS2。

RESET:

为独立扩展下载板上第71脚,应接“多功能复用按键F1-F12”信号接线组“F1_12(T)”的F9~F12的任意一个引线插孔

CLK:

为独立扩展下载板上第79脚即GCLK1脚,应接时钟信号接线组“CLOCK(T)”的“FRQ(11)”引线插孔。

动态共阴数码管使用操作(程序为1-D):

改变“8位数字开关组(A)”的“SW8~SW5”,共有24=16种状态,即在共阴动态数码管上分别显示十六进制数0~F。

◆静态共阳数码管实验电路连线(程序为1-S):

本例数码管为共阳极性,位于EDAPRO/240H实验仪的“DS7C”。

D1、D2、D3、D4:

数码管段码A、B、C、D、E、F、G分别为独立扩展下载板上第25、26、27、28、29、30、31脚,内部已锁定,无需连

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 法律文书 > 调解书

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1