基于PLC及Wincc的智力竞赛抢答控制装置设计说明书Word文件下载.doc

上传人:b****3 文档编号:15271352 上传时间:2022-10-29 格式:DOC 页数:25 大小:848.50KB
下载 相关 举报
基于PLC及Wincc的智力竞赛抢答控制装置设计说明书Word文件下载.doc_第1页
第1页 / 共25页
基于PLC及Wincc的智力竞赛抢答控制装置设计说明书Word文件下载.doc_第2页
第2页 / 共25页
基于PLC及Wincc的智力竞赛抢答控制装置设计说明书Word文件下载.doc_第3页
第3页 / 共25页
基于PLC及Wincc的智力竞赛抢答控制装置设计说明书Word文件下载.doc_第4页
第4页 / 共25页
基于PLC及Wincc的智力竞赛抢答控制装置设计说明书Word文件下载.doc_第5页
第5页 / 共25页
点击查看更多>>
下载资源
资源描述

基于PLC及Wincc的智力竞赛抢答控制装置设计说明书Word文件下载.doc

《基于PLC及Wincc的智力竞赛抢答控制装置设计说明书Word文件下载.doc》由会员分享,可在线阅读,更多相关《基于PLC及Wincc的智力竞赛抢答控制装置设计说明书Word文件下载.doc(25页珍藏版)》请在冰豆网上搜索。

基于PLC及Wincc的智力竞赛抢答控制装置设计说明书Word文件下载.doc

2整体功能介绍 -1-

2.1任务描述 -1-

2.2智力竞赛抢答控制装置要求:

-2-

2.3控制装置要求分析 -2-

3硬件电路设计 -4-

4软件设计 -5-

4.1输入输出IO分配 -5-

4.2梯形图设计 -6-

4.2.1创建符号表 -7-

4.2.3创建组织块OB1 -8-

4.3STEP7仿真调试及运行 -17-

4.3.1调试方案确定 -17-

4.3.2软件仿真调试 -18-

5SIMATICWinCC仿真 -20-

5.1创建新的驱动程序 -20-

5.2建立变量表 -21-

5.3创建运行画面 -21-

6小结与心得体会 -22-

7参考文献 -23-

分布式控制课程设计——智能竞赛抢答控制装置设计

1绪论

随着我国经济和文化事业的发展,在很多竞争场合都要求有快速公正的额竞争裁决,例如证券、股票交易及各种智力竞赛等。

在现代生活中,智力竞赛更是作为一种生动活泼的教育形式和方法能够引起观众的极大兴趣。

而在竞赛中往往分为几组参加,这时针对主持人提出的问题,各组一般都要进行必答和抢答,对必答一般有时间限制,到时有声响提示;

对于抢答,要判定哪组先按键,为了公正,这就要有一种逻辑电路抢答器作为裁判员,一般抢答器由很多门电路组成,线路复杂,可靠性低,特别是抢答路数增多时,实现起来就更加困难。

目前国内外市场上已有很多类型的知识竞赛抢答器,其大致采用模拟电路、数字电路、单片机或者PLC芯片、计算机控制系统等四类产品。

对于采用模拟电路或者数字电路的产品,其技术相当成熟。

但是随着功能的增多,电路也越复杂,并且成本偏高,故障率高,显示方式简单或者没有,无法准确判断抢按按钮的行为,也不便于参数调节及其功能的升级换代。

对于计算机控制系统来说,其程序简单,反应灵敏,便于参数调节及其功能的升级换代,但鉴于其必须配合计算机实用,可操作性差,没有得到广泛的应用。

而对于科技飞速发展的今天,PLC、单片机应用的不断深入,带动了传统控制检测技术的不断更新,并鉴于其本身具有的优点,以PLC为核心的部件成为主流。

2整体功能介绍

智力竞赛抢答控制装置,顾名思义就是用于比赛时,跟对手比反应时间,思维运转快慢的新型电器。

随着社会科技技术的不断发展,它的应用场合也随之增加;

技术含量大大提升;

更加方便可靠。

目前,形式多样、功能完备的抢答器已广泛应用于电视台、商业机构、学校及企事业单位,它为各种竞赛增添了刺激性、娱乐性,在一定程度上丰富了人们的业余生活。

用PLC进行知识竞赛抢答器设计,其控制方便,灵活,只要改变输入PLC的控制程序,便可改变智力竞赛抢答控制装置的抢答方案。

2.1任务描述

在各种形式的智力竞赛中,抢答器作为智力竞赛的评判装置得到了广泛的应用。

设计抢答器的原则是:

(1)可以根据参赛者的情况,自动设定允许抢答的等候时间。

(2)能够用声光信号表示竞赛状态,调节赛场的气氛。

(3)根据比赛规模的大小要求,能够自由调整参赛人数。

为简单起见,在赛场安排有4个抢答桌,系统组成如图1所示。

在每个抢答桌上有抢答按钮,只有最先按下的抢答按钮有效,伴有声、光指示。

在规定的时间内抢答时才能回答问题,否则无效。

音箱

图2.1智力抢答器系统组成

(1)当出题人说出问题且按下开始按钮SB1后(复位按钮SB0),在10s之内,4个参赛者中只有最早按下抢答按钮的人抢答有效。

(2)每个抢答桌上安装1个抢答按钮(SB2-SB5),1个指示灯。

抢答有效时,指示灯(EL1-EL4)快速闪亮3s,赛场中的音响装置(B)响2s。

(3)10s后抢答无效。

2.3控制装置要求分析

智力竞赛抢答控制装置是由两大部分组成:

抢答块和执行块。

抢答块中主要有关主持人及参赛者抢答的与或关系。

能完成任务1中的相应要求,避免四位参赛者同时抢得到回答提问的机会。

执行块中主要执行指示灯的闪烁及赛场音响的通断。

两个主要块在编写程序的过程中需注意以下几点:

(1)四位参赛者的抢答器需进行互锁,避免同时抢答,并加入一个10s的中间继电器,用于计时。

(2)当参赛者夺得抢答权时,指示灯需进行一定规律的闪烁。

并在规定的闪烁3秒后能保持常亮状态,当主持人重新复位后,指示灯可以重新关断。

(3)尽可能的简短PLC的扫描周期,以便提高系统的运算速度。

并使用上升沿的触点,避免在扫描周期时按钮执行动作。

(4)减少暂时不产生作用却仍处接通状态的继电器的数量,以此减少能耗,使程序更加合理。

综合以上分析,做出智力竞赛抢答控制装置的结构流程图(图2.2所示)。

开始

四组抢答

抢答相应灯亮,音响响

按复位键返回

结束

是否到10s

开始抢答键

是否按下

图2.2结构流程图

3硬件电路设计

本系统对PLC的I/O总要求为:

6个开关量输入点,5个开关量输出点。

综合考虑各方面因素及进一步发展的要求,设计选择西门子S7—300系列PLC为控制核心,CPU模块可选用CPU314C—2DP,具体配置如图3.1所列。

图3.1PLC系统配置表

图3.2系统硬件配置状况

知识竞赛抢答器通过PLC进行按控制要求编程,其主要的输入就是通过裁判员和参赛选手的按钮,然后将信号传递给信息分析中心(PLC),PLC将根据信号作出相应的响应。

知识竞赛抢答器有六个输入信号(即六个按钮),六个输出信号(即五个台灯信号、一个音响信号)。

由上可知PLC共有六个输入点,六个输出点。

系统控制结构框图如下图3.3所示:

PLC

SB0

SB1

SB2

SB3

SB4

SB5

1号台灯

2号台灯

3号台灯

4号台灯

音响

图3.3系统控制结构框图

4软件设计

4.1输入输出IO分配

表4-1知识竞赛抢答器输入输出口分配表

输入信号

输出信号

名称

代号

内部地址

裁判台复位按钮

I0.0/M3.0

1号参赛台灯

EL1

Q1.1

裁判台开始按钮

I0.1/M3.1

2号参赛台灯

EL2

Q1.2

1号赛台抢答器按钮

I1.0/M4.1

3号参赛台灯

EL3

Q1.3

2号赛台抢答器按钮

I1.1/M4.2

4号参赛台灯

EL4

Q1.4

3号赛台抢答器按钮

I1.2/M4.3

音响

B

Q0.0

4号赛台抢答器按钮

I1.3/M4.4

为了硬件电路接线方便和程序清晰易读,用SB0作为裁判台复位按钮,使进入下轮比赛开始。

用SB1作为裁判台开始按钮,四个参赛队分别用与之对应号SB1、SB2、SB3、SB4设置按钮。

输出与输入对应,用Q1.1输出信号驱动比赛开始信号灯EL1,同理用Q1.2、Q1.3、Q1.4、输出信号驱动对应的信号灯EL2、EL3、EL4。

Q0.0输出信号驱动音响。

4.2梯形图设计

应用程序是PLC控制系统设计的关键环节之一。

对于一个较复杂的控制系统,在具体设计应用程序之前,一般先要选择合理的程序结构。

合理的程序结构,不但能使编程工作简化,程序执行效率高、可读性强、可维护性好,而且还能起到事半功倍的效果。

线性化程序结构式小型、简单控制系统最常使用的结构,其特点是整个控制程序都放在组织块OB1中。

图4.1程序设计流程图图图4.2指示灯和音响运行图

4.2.1创建符号表

用STEP7符号表定义竞赛抢答装置的全局变量,已在表4-2中定义。

表4-2全局变量表

4.4.2.2定义OB1的属性表

抢答器装置的主程序放在组织块OB1中,它包括所有运行逻辑关系。

图4.3是组织块的属性表。

图4.3OB1的属性表

4.2.3创建组织块OB1

竞赛抢答装置系统的主程序循环块OB1中的梯形图程序如图4.4所示。

图4.4抢答控制装置的OB1程序

4.3STEP7仿真调试及运行

梯形图建立之后为了保证其正确无误,需要对其进行检测。

首先梯形图绘制有其特定规则,如不能有空行,并联时位置有要求等,掌握这些后再修改梯形图,避免一些错误。

另外,对梯形图要进行仿真调试,以达到预期的目的。

4.3.1调试方案确定

在使用“S7—PLCSIMSimulatingModules”STEP7组件正式运行之前,先定义各种运行状态,以便查找程序可能产生的错误。

(1)先接通I0.1,在10s内再接通I1.1(I1.2、I1.3、I1.4)

观察:

a、Q0.0是否接通,2秒后是否自动关闭;

b、Q1.1(Q1.2、Q1.3、Q1.4)是否闪烁,并记录下T3与T4的计时状态;

c、Q1.1(Q1.2、Q1.3、Q1.4)是否闪烁了3次,以检测计数器的状态。

(2)先接通I0.1,过10s后再接通I1.1(I1.2、I1.3、I1.4)

a、Q1.1(Q1.2、Q1.3、Q1.4)触点是否保持关断;

b、Q0.0触点是否保持关断;

c、其他中间继电器是否处于关闭状态。

(3)先接通I0.1,在10s内再接通I1.1(I1.2、I1.3、I1.4)

a、Q1.2(Q1.3、Q1.4)是否闪亮,以此检测程序互锁状态。

(4)在未接通I0.1情况下,先接通I1.1(I1.2、I1.3、I1.4)

a、观察所有输入点和中间继电器是否处于工作状态(即相应的指示灯是否闪亮)。

(5)先持续接通I1.1(I1.2、I1.3、I1.4),在不释放I1.1(I1.2、I1.3、I1.4)的情况下,再接通I0.1

(6)在正常工作检测成功后,启动I0.0

a、相应的输出点Q是否正常关闭;

b、其他中间继电器及计时计数器是否复位。

4.3.2软件仿真调试

用组件“S7—PLCSIMSimulatingModules”对所编写的程序仿真调试。

本实验调试为简单起见只第一组进行抢答,即只接通I1.1。

按照确定的调试方案,结果如下图(图4.5-图4.11)所示。

图4.5系统为运行状态图

图4.6调试方案1状态图

图4.7调试方案2状态图

图4.8调试方案3状态图

图4.9调试方案4状态图

图4.10调试方案5状态图

图4.11调试方案6状态图

5SIMATICWinCC仿真

WinC

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 解决方案 > 其它

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1