FPGA产生正弦波方法四分之一周期COE文件Word文件下载.docx

上传人:b****3 文档编号:15087817 上传时间:2022-10-27 格式:DOCX 页数:7 大小:137.20KB
下载 相关 举报
FPGA产生正弦波方法四分之一周期COE文件Word文件下载.docx_第1页
第1页 / 共7页
FPGA产生正弦波方法四分之一周期COE文件Word文件下载.docx_第2页
第2页 / 共7页
FPGA产生正弦波方法四分之一周期COE文件Word文件下载.docx_第3页
第3页 / 共7页
FPGA产生正弦波方法四分之一周期COE文件Word文件下载.docx_第4页
第4页 / 共7页
FPGA产生正弦波方法四分之一周期COE文件Word文件下载.docx_第5页
第5页 / 共7页
点击查看更多>>
下载资源
资源描述

FPGA产生正弦波方法四分之一周期COE文件Word文件下载.docx

《FPGA产生正弦波方法四分之一周期COE文件Word文件下载.docx》由会员分享,可在线阅读,更多相关《FPGA产生正弦波方法四分之一周期COE文件Word文件下载.docx(7页珍藏版)》请在冰豆网上搜索。

FPGA产生正弦波方法四分之一周期COE文件Word文件下载.docx

即幅值放大4096倍。

例如幅值为0.3,而0.3*2^12=1228.8,取整为1229。

当然这样表示会有一定误差,但可以根据精度需要调整参数,使误差可接受。

生成ROM。

这里rom用的是ISE的IProm。

将matlab生成的数据存入rom里面,读取rom的值,即可生成正弦信号。

首先是生成rom的初始化文件。

Xilinx的rom的初始化文件的后缀为.coe。

这个特殊文件有固定的格式如下:

文件前面两行的容是固定的。

MEMORY_INITIALIZATION_RADIX=10;

表示下面的数字是10进制数,后面的数据是依次存入rom的值,以逗号分开,最后以一个分号结束。

生成初始化文件的matlab程序如下所示:

fid=fopen('

sin_rom.txt'

'

w'

);

fprintf(fid,'

MEMORY_INITIALIZATION_RADIX=10;

\n'

MEMORY_INITIALIZATION_VECTOR=\n'

fori=0:

1:

pi/2*100

y=sin(i/100);

rom=floor(y*2^12);

ifi==157

%d;

'

rom);

else

%d,'

end

ifmod(i,10)==0&

&

i~=0

fclose(fid);

matlab生成的文件是.txt文件。

将后缀直接改为.coe即可。

然后复制到ISE分工程目录下。

初始化文件生成后,剩下就是编写verilog代码。

首先建一个工程,然后新建一个IP。

找到rom,打开。

设置按如下设置:

这里是设置位宽,采用的是13位(第一位为符号位,后面12位为数据位)来显示sin的值。

因此这里是设置为13.深度是因为要存158个值,所以这里设置为158.

将刚刚生成的.coe文件载入,生成即可。

接着就是编写verilog代码了。

首次查看用ip生成的rom的例化代码.

sin_romyour_instance_name(

.clka(clka),//inputclka

.addra(addra),//input[7:

0]addra

.douta(douta)//output[12:

0]douta

从上面的程序可看出,只需要给时钟信号,和输入地址,就可以了。

输出的就是正弦的数字信号了。

分析正弦信号,前1/4个周期,地址从0自加,一直加到157(1/4个周期的点数)。

然后再自减,减到0。

然后进入到负半周,负数的表示是以二进制的补码来表示的,即绝对值数的二进制取反在加1。

编写地址自加自减的代码,然后再根据地址的值,判断输出值的正负,如为负数,则取反加1后再输出。

 

代码,如下所示:

modulesin_top(

inputclk,//输入时钟信号

input[9:

0]address,//输入地址信号

outputreg[12:

0]data_out//输出sin的数字值

reg[7:

0]add;

wire[12:

0]douta;

//以下是判断地址的值

always*

begin

if(address<

=157)

add=address;

elseif(address<

=314)

add=10'

d314-address;

=471)

add=address-10'

d314;

=628)

d628-address;

add=0;

//例化之前生成的sin_rom

sin_romu1_sin_rom(

.clka(clk),//inputclka

.addra(add),//input[7:

.douta(douta)//output[12:

//判断输出值是正数还是负数。

always*begin

=314)

data_out=douta;

data_out=~douta+1'

b1;

data_out=0;

endmodule

程序写好了,剩下就是要仿真了。

仿真的testbench,只需要输入时钟和地址信号就可以了。

地址信号一直加一,直到不小于628,刚好一个周期结束。

就返回0值,在继续自加。

测试代码如下所示:

modulesin_top_test;

//Inputs

regclk;

reg[9:

0]address;

//Outputs

0]data_out;

//InstantiatetheUnitUnderTest(UUT)

sin_topuut(

.clk(clk),

.address(address),

.data_out(data_out)

always#5clk=~clk;

initialbegin

//InitializeInputs

clk=0;

address=0;

//Wait100nsforglobalresettofinish

while

(1)

(negedge(clk));

628)

address=address+1;

至此FPGA产生正弦波程序结束。

这样产生的正弦波,方法比较简单,但是不能调节,调节的话,就要重新生成rom的值。

而且还伴随着有误差。

可以通过增大位数,和减小采样间隔时间来减小误差。

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 自然科学 > 天文地理

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1