EDA实验装置DE2开发板介绍.ppt

上传人:b****1 文档编号:1389786 上传时间:2022-10-21 格式:PPT 页数:38 大小:2.47MB
下载 相关 举报
EDA实验装置DE2开发板介绍.ppt_第1页
第1页 / 共38页
EDA实验装置DE2开发板介绍.ppt_第2页
第2页 / 共38页
EDA实验装置DE2开发板介绍.ppt_第3页
第3页 / 共38页
EDA实验装置DE2开发板介绍.ppt_第4页
第4页 / 共38页
EDA实验装置DE2开发板介绍.ppt_第5页
第5页 / 共38页
点击查看更多>>
下载资源
资源描述

EDA实验装置DE2开发板介绍.ppt

《EDA实验装置DE2开发板介绍.ppt》由会员分享,可在线阅读,更多相关《EDA实验装置DE2开发板介绍.ppt(38页珍藏版)》请在冰豆网上搜索。

EDA实验装置DE2开发板介绍.ppt

EDA实验装置DE2开发板介绍,DE2实验教学开发板基本情况DE2开发板控制软件DE2开发板使用方法DE2开发板使用示例,DE2实验教学开发板基本情况由ALTERA公司设计开发用于学习数字逻辑、电脑控制和FPGA设计采用最先进的软、硬件资源为广泛的设计研究课题提供支持具有丰富的用户可编程显示、存储器件和大量的接口资源,DE2开发板的硬件资源,DE2开发板主要硬件资源

(1)ALTERACycloneII2C35FPGA芯片ALTERA串行配置芯片EPCS16USBBlaster下载线512KSRAM8MSDRAM4MFLASH4个按键开关18个拨码开关,DE2开发板主要硬件资源

(2)18个用户可定义红色LED灯,9个绿LED灯50M和27M晶振24bit高质量语音编解码器VGADAC和VGA输出接口电视信号解码器和电视接入接口10/100M互联网控制器和接入接口USB输入输出接口RS-232接口和9位数据接口,DE2开发板的结构框图,安装USB-BLASTER驱动,在初次将DE2开发板与电脑相连时,系统会自动提示发现新硬件,并执行硬件驱动安装程序。

需要注意的是一定要在QuartusII安装文件目录下的quartus60driversdriversusb-blaster中选择相应的USB驱动程序进行安装。

否则将无法识别开发板。

DE2开发板的加电过程将开发板上USB-BLASTER接口和电脑USB口相连。

(注意:

要先安装ALTERAUSBBLASTER驱动)将9V电源连入开发板电源接口。

将需要连接的图像显示终端、音频输入输出装置连接开发板的对应接口。

将开发板上RUN/PROG开关拨向RUN端。

打开电源开关接通电源。

DE2开发板的加电后的正常工作状态所有用户可定义LED灯闪亮7段数码管循环显示0F的字符LCD显示WelcometotheAlteraDE2Board图像显示终端显示一张图片将开关SW17拨下输出1KHz蜂鸣声将开关SW17拨上并连接音频播放器可听到音乐,EDA实验装置DE2开发板介绍,DE2实验教学开发板基本情况DE2开发板控制软件DE2开发板使用方法DE2开发板使用示例,DE2开发板控制软件软件名称:

DE2_Control_Panel.exe可以让用户通过和电脑相连的USB线接入开发板内的各个模块通过在电脑上操作软件方便地更改开发板的工作状态,DE2开发板控制软件的使用首先必须向开发板的FPGA芯片载入与控制软件相关的电路文件通过向FPGA芯片下载DE2_USB_API.sof文件来实现。

此外还需要在电脑上运行DE2_Control_Panel.exe文件以上所用文件包含在开发板自带文件中,DE2开发板控制软件的安装具体步骤:

将电脑USB接口与开发板USB-BLASTER接口相连,接上9V电源,并开通电源将RUN/PROG开关拨向RUN打开QUARTUSII软件选择ToolsProgrammer打开下载界面。

点击AddFile选择添加DE2_USB_API.sof文件点击对应文件的Program/configure项下方框选中(打钩),QuartusIIProgrammer下载界面,点击对应文件的Program/configure项下方框选中(打钩),点击Hardware_Setup实现硬件连接点击Start开始下载程序在电脑上运行DE2_Control_Panel.exe文件,界面如右图所示。

在DE2_Control_Panel.exe软件界面点击OpenOpenUSBPort0,打开控制USB端口(注意:

DE2_Control_Panel.exe会一直占用USB端口直到关闭相应端口,占用期间不能下载其他程序)设置安装完成,可以开始使用控制软件,示例1:

通过控制软件设置数码管分别显示18.,示例2:

通过控制软件设置红色LED灯全亮.,EDA实验装置DE2开发板介绍,DE2实验教学开发板基本情况DE2开发板控制软件DE2开发板使用方法DE2开发板使用示例,DE2开发板使用方法,1、DE2开发板的下载方式,DE2开发板中包含有串行EEPROM存储芯片,可以保存需要装载的数据。

每次系统加电时,自动将装载的数据传送给FPGA芯片,使系统正常工作。

DE2开发板可以利用QuartusII软件随时重新下载编译数据,改变系统功能,也可以通过改变串行EEPROM存储芯片内的数据改变系统的功能。

因此DE2开发板有两种下载方式,分别为JTAG方式和AS方式。

JTAG下载方式:

直接将下载数据装载到FPGA芯片中,只要系统处于工作状态,就能保持相同的系统功能,但是系统掉电后数据丢失。

AS下载方式:

将下载数据装载到DE2开发板中的AlteraEPCS16串行EEPROM芯片中,无论系统处于何种状态,只要系统上电就能自动将下载数据传送给FPGA芯片,使系统按预定设计工作,下载的数据不会丢失。

JTAG下载方式操作方法:

JTAG下载方案示意图,确定DE2开发板电源正常;正确连接USB接口;设置RUN/PROG开关在RUN状态;从QuartusII软件下载后缀名为.sof的可下载文件,完成下载。

具体下载步骤:

AS下载方式操作方法:

AS下载方案示意图,确定DE2开发板电源正常;正确连接USB接口;设置RUN/PROG开关在PROG状态;从QuartusII软件下载后缀名为.pof的可下载文件;下载完成后,将RUN/PROG开关拨向RUN并重启开发板,实现新的系统功能。

具体下载步骤:

2、LED灯和开关的使用方法,DE2开发板有4个按键开关,都进行了防抖动处理(如下图所示)。

按键名称分别为KEY0KEY3,都直接和FPGA相连。

当没有有效按键时按键开关输出高电平(3.3V),当出现有效按键时,开关输出低电平(0V)。

DE2开发板上有18个拨码开关,这些开关没有采用防抖动处理。

同样直接与FPGA相连。

当开关拨向下方时输出为逻辑低电平(0V);开关拨向上时输出逻辑高电平(3.3V)。

DE2开发板上有27个用户可控制LED灯。

其中18个红色LED灯在18个拨码开关上方;8个绿色LED灯在按键开关上方,其中第9个绿色LED灯在数码显示管中间。

每个LED灯都由FPGA的相应管脚直接控制。

当对应管脚为高电平时LED灯点亮,否则不亮。

开关连接方式,LED连接方式,拨码开关与FPGA芯片管脚对应情况,按键开关与FPGA芯片管脚对应情况,红色LED与FPGA芯片管脚对应情况,绿色LED与FPGA芯片管脚对应情况,3、7段数码显示管的使用方法,DE2开发板有8个数码显示管,其中4个分成一组,另4个分成2组。

都与FPGA芯片管脚相连。

当管脚输出为低电平时对应的数码段被点亮,高电平时对应的数码段不亮。

由于只用7根数据线相连,因此实际上小数点没有用到。

7段数码管与FPGA芯片管脚连接情况,EDA实验装置DE2开发板介绍,DE2实验教学开发板基本情况DE2开发板控制软件DE2开发板使用方法DE2开发板使用示例,例1:

使用DE2开发板上的示例程序设置下载环境,下载环境设置方法:

正确连接DE2开发板的电源和USB接口;在电脑上安装USB-BLASTER驱动程序;打开QuartusII软件,装载示例程序DE2_Default;正确打开QuartusII下载界面,建立下载连接;完成下载;观察系统工作情况。

例2:

使用DE2开发板上的拨码开关控制相应的LED灯,设计思路:

设计实体:

输入为拨码开关的值,输出为LED灯的值;结构体行为:

将拨码开关的逻辑值赋给对应LED灯,控制LED灯的亮灭情况;对程序进行功能仿真;进行管脚分配:

查找DE2开发板相应拨码开关和LED灯与FPGA管脚连接情况,将各个输入输出端口与实际FPGA管脚对应起来;管脚分配后重新编译;设置下载环境,进行下载;测试实际电路。

LIBRARYieee;USEieee.std_logic_1164.all;ENTITYpart1ISPORT(SW:

INSTD_LOGIC_VECTOR(17DOWNTO0);LEDR:

OUTSTD_LOGIC_VECTOR(17DOWNTO0);ENDpart1;ARCHITECTUREBehaviorOFpart1ISBEGINLEDR=SW;ENDBehavior;,参考程序:

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 考试认证 > IT认证

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1