完整版大学毕业设计说明书.docx

上传人:b****4 文档编号:1388560 上传时间:2022-10-21 格式:DOCX 页数:35 大小:43.69KB
下载 相关 举报
完整版大学毕业设计说明书.docx_第1页
第1页 / 共35页
完整版大学毕业设计说明书.docx_第2页
第2页 / 共35页
完整版大学毕业设计说明书.docx_第3页
第3页 / 共35页
完整版大学毕业设计说明书.docx_第4页
第4页 / 共35页
完整版大学毕业设计说明书.docx_第5页
第5页 / 共35页
点击查看更多>>
下载资源
资源描述

完整版大学毕业设计说明书.docx

《完整版大学毕业设计说明书.docx》由会员分享,可在线阅读,更多相关《完整版大学毕业设计说明书.docx(35页珍藏版)》请在冰豆网上搜索。

完整版大学毕业设计说明书.docx

完整版大学毕业设计说明书

2013届毕业设计说明书

基于EDA技术设计的32路彩灯控制器

系、部:

电气与信息工程学院

学生姓名:

学号:

指导教师:

职称:

专业:

电子信息工程

班级:

完成时间:

2013年5月20日

 

摘要

随着科学技术的发展,在现代生活中,节日彩灯作为一种景观装饰被应用的领域越来越广泛。

随着电子技术的发展,应用系统向着小型化、快速化、大容量、重量轻的方向发展,EDA技术的应用引起电子产品及系统开发的革命性变革。

VHDL语言作为可编程逻辑器件的标准语言描述能力强,覆盖面广,抽象能力强,在实际应用中越来越广泛,也使人们可以从繁重的设计工作中解脱出来,提高设计效率,缩短产品研发周期。

整个过程通过EDA工具自动完成,大大减轻了设计人员的工作强度,提高了设计质量,减少了出错的机会。

此次设计一个彩灯控制器,可以实现十六种不同花型的变化。

用分频器来控制实现调速按钮,高电平变化快,低电平变化慢,还要配备清零按钮。

根据上述的情况来分配任务,将本次设计的主控电路分为两个模块,分别为时序控制电路模块和显示模块。

时序控制电路是根据输入信号的设置得到相应的输出信号,并且将此信号作为显示电路的时钟信号;显示电路输入时钟信号的周期有规律的输出设定的十六种不同彩灯变化花型。

整个系统共有三个输入信号:

控制彩灯节奏快慢的基准时钟信号CLK,系统清零信号CLR,彩灯频率输出选择控制信号CHOSE_KEY;共有32个输出信号LED[31..0],分别用于控制32路彩灯。

本文阐述了基于EDA技术的多路彩灯控制器的设计与分析,并在QuartusII环境下采用VHDL语言实现,也得出了采用CPLD进行产品开发可以灵活地进行模块配置,大大缩短了产品开发周期,也有利于产品向小型化,集成化的方向发展。

论述了基于VHDL语言和CPLD芯片的数字系统设计思想和实现过程。

关键词:

彩灯控制器;VHDL;EDA

ABSTRACT

withthedevelopmentofscienceandtechnology,inthemodernlife,ismoreandmorewidelyapplicationfield.Withthedevelopmentofelectronictechnology,theapplicationsystemtowardminiaturization,thedirectionoftherapidness,largecapacity,lightweight,theapplicationofEDAtechnologyelectronicproductsandsystemsdevelopmentofrevolutionarychange.AstheprogrammablelogicdevicesstandardlanguageVHDLlanguagedescriptionability,widecoverage,abstractability,moreandmorewidelyinthepracticalapplication,alsomakepeoplecanbefreefromwork,improvethedesignefficiency,shortenproductdevelopmentcycle.ThewholeprocessfinishedautomaticallybytheEDAtools,greatlyreducethedesignpersonnel'sworkstrength,improvethequalityofthedesign,reducesthechanceoferror.

Alanterncontroller,thedesigncanachieve16differentpatternsofchange,i.e.thereshouldbearesetswitch,useastatemachinetocontroltheimplementation.Dividerisusedtorealizethespeedcontrolbutton,control.Assignedtasksaccordingtotheabovesituation,thedesignofmaincontrolcircuitcanbedividedintotwomodules,thetimingcontrolcircuitmoduleanddisplaymodulerespectively.Sequentialcontrolcircuitsareaccordingtotheinputsignalissettogettheappropriateoutputsignals,andthedisplaycircuitasasignaloftheclocksignal;Displaycircuitinputclocksignalcycleofregularoutputof16differentlightschangepattern.Therearethreeinputsignals:

thewholesystemcontrollightsrhythmofthereferenceclocksignalCLK,CLR,systemresetsignallightsCHOSE_KEYfrequencyoutputselectioncontrolsignal;Atotalof32outputsignalLED[31.0],32wereusedtocontrolthelights.

Thispaperexpoundsthemulti-waylanterncontrollerbasedonEDAtechnology,thedesignandanalysis,andintheQuartusIIenvironmentareimplementedusingVHDLlanguage,andtheCPLDisobtainedintermsofproductdevelopmentcanflexiblyconfiguremodule,greatlyshortentheproductdevelopmentcycle,andconducivetoproducttominiaturization,thedirectionofintegration.BasedonVHDLlanguageandCPLDchipisdiscussed,andthedigitalsystemdesignideasandimplementationprocess.

Keywordslanterncontrolle;VHDL;EDA

1绪论1

1.1课题背景1

1.2研究目的和意义2

2设计内容3

2.1设计要求3

2.2功能描述3

2.3设计原理4

3模块设计及其功能5

3.1模块功能描述5

3.2时序控制电路设计5

3.2.1振荡器6

3.2.2频率发生器7

3.2.3地址码产生器8

3.3显示控制电路设计10

3.3.1编码发生器11

3.3.2驱动电路20

4顶层模块设计21

5彩灯控制器仿真测试22

5.1频率发生器模块仿真波形22

5.2地址码产生器模块仿真波形23

5.3编码发生器模块仿真波形24

5.4彩灯控制器顶层文件仿真波形25

6总结26

参考文献27

致谢29

附录程序清单30

1绪论

随着科技日新月异的发展,在现代生活中,彩灯作为一种景观,安置在各种建筑物的适当地方作为装饰添加气氛,达到了广告宣传的作用。

对实时动态效果的灯光进行实时控制的装置很多,如电脑编程多路彩灯控制器、CEC电脑彩灯控制器、EPROM程控编码彩灯控制器和计算机灯光控制系统。

以上这些控制装置均运用计算机技术、电子信息技术和声光及无线技术,对被控灯光系统按设定的要求进行亮、灭灯等控制,形成了各种各样的灯光图案效果,有时还配以音乐和水幕喷射,营造了梦幻般的艺术特景效果,让人叹为观止。

1.1课题背景

在经济和商业高度发达的今天,彩灯已经成为人民日常生活不可或缺的一部分,已经逐渐开始被越来越多的人们所关注,在家庭中的使用率不断提高,大大的丰富和改善了人民的生活。

彩灯可由不同颜色色彩的LED灯组成,通过控制不同颜色的灯的亮与灭时间顺序,呈现出不同的花型和图案,极大的丰富了乏味的现代都市夜景,让城市圈成为了多姿多彩的不夜城。

此次设计主要是根据可编程逻辑器件,使用硬件描述语言VHDL,采用了“自顶而下”的设计思路,完成一个32路彩灯控制器的设计,并且使用QuartusII仿真软件来仿真结果。

VHDL(VeryHighSpeedIntegratedCircuitHardwareDescriptionLanguage,超高速集成电路硬件描述语言)诞生于1982年,是由美国国防部牵头开发的一种快速设计电路的工具,目前已经成为IEEE(TheInstituteofElectricalandElectronicsEngineers)的一种工业标准硬件描述语言。

相比传统的电路系统的设计方法,VHDL具有多层次描述系统硬件功能的能力,可支持自顶向下(ToptoDown)和基于库(LibraryBased)的设计的特点,因此设计人员可不必了解硬件结构。

从系统设计入手,在顶层进行系统方框图的划分和结构设计,在方框图一级用VHDL对电路的行为进行描述,并进行仿真和纠错,然后在系统一级进行验证,最后再用逻辑综合优化工具生成具体的门级逻辑电路的网表,下载到具体的CPLD器件中去,从而实现可编程的专用集成电路(ASIC)的设计。

用VHDL语言进行数字逻辑电路和数字系统的设计,是电子电路设计方法上的一次革命性变革。

与传统设计方法相比,与其它硬件设计方法相比,用VHDL进行工程设计的优点是多方面的:

具有很强的行为描述能力,可支持大规模设计的分解和已有设计的再利用,可读性良好,便于发现错误及修改,使用其仿真器对VHDL源代码进行仿真允许设计者不依赖于器件,容易发现设计中出现的问题。

实现了设计与工艺无关,可移植性较好,面向市场时间快,成本低,性价比和ASIC移植等优点。

1.2研究目的和意义

如今随着时代和科技日异月新的发展和突破,彩灯作为一种景观应用越来越多,现实生活中,彩灯作为一种装饰和艺术渲染工具,起到很好的广告宣传作用,为人们增添了节日气氛,也为人们的生活增添了一道亮丽的色彩。

在电子电路设计领域中,电子设计自动化(EDA)工具已成为主要的设计手段。

它的发展给电子系统的设计带来了革命性的变化,EDA软件设计工具,硬件描述语言,可编程逻辑器件(PLD)使得EDA技术的应用走向普及。

现代生活中,彩灯已经成为必不可少的景观,本次设计本着与实际生活密切联系的原则,论述了使用VHDL设计32路彩灯控制器的过程。

随着科学技术的发展以及人民生活

展开阅读全文
相关资源
猜你喜欢
相关搜索
资源标签

当前位置:首页 > 小学教育 > 语文

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1