ImageVerifierCode 换一换
格式:DOCX , 页数:35 ,大小:43.69KB ,
资源ID:1388560      下载积分:3 金币
快捷下载
登录下载
邮箱/手机:
温馨提示:
快捷下载时,用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)。 如填写123,账号就是123,密码也是123。
特别说明:
请自助下载,系统不会自动发送文件的哦; 如果您已付费,想二次下载,请登录后访问:我的下载记录
支付方式: 支付宝    微信支付   
验证码:   换一换

加入VIP,免费下载
 

温馨提示:由于个人手机设置不同,如果发现不能下载,请复制以下地址【https://www.bdocx.com/down/1388560.html】到电脑端继续下载(重复下载不扣费)。

已注册用户请登录:
账号:
密码:
验证码:   换一换
  忘记密码?
三方登录: 微信登录   QQ登录  

下载须知

1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。
2: 试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。
3: 文件的所有权益归上传用户所有。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 本站仅提供交流平台,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

版权提示 | 免责声明

本文(完整版大学毕业设计说明书.docx)为本站会员(b****4)主动上传,冰豆网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知冰豆网(发送邮件至service@bdocx.com或直接QQ联系客服),我们立即给予删除!

完整版大学毕业设计说明书.docx

1、完整版大学毕业设计说明书 2013届毕业设计说明书 基于EDA技术设计的32路彩灯控制器 系 、 部: 电气与信息工程学院 学生姓名: 学 号: 指导教师: 职 称: 专 业: 电子信息工程 班 级: 完成时间: 2013年5月20日 摘 要 随着科学技术的发展,在现代生活中,节日彩灯作为一种景观装饰被应用的领域越来越广泛。随着电子技术的发展,应用系统向着小型化、快速化、大容量、重量轻的方向发展,EDA技术的应用引起电子产品及系统开发的革命性变革。VHDL语言作为可编程逻辑器件的标准语言描述能力强,覆盖面广,抽象能力强,在实际应用中越来越广泛,也使人们可以从繁重的设计工作中解脱出来,提高设计效

2、率,缩短产品研发周期。整个过程通过EDA工具自动完成,大大减轻了设计人员的工作强度,提高了设计质量,减少了出错的机会。 此次设计一个彩灯控制器,可以实现十六种不同花型的变化。用分频器来控制实现调速按钮,高电平变化快,低电平变化慢,还要配备清零按钮。根据上述的情况来分配任务,将本次设计的主控电路分为两个模块,分别为时序控制电路模块和显示模块。时序控制电路是根据输入信号的设置得到相应的输出信号,并且将此信号作为显示电路的时钟信号;显示电路输入时钟信号的周期有规律的输出设定的十六种不同彩灯变化花型。整个系统共有三个输入信号:控制彩灯节奏快慢的基准时钟信号CLK,系统清零信号CLR,彩灯频率输出选择控

3、制信号CHOSE_KEY;共有32个输出信号LED31.0,分别用于控制32路彩灯。本文阐述了基于EDA技术的多路彩灯控制器的设计与分析,并在Quartus II 环境下采用VHDL语言实现,也得出了采用CPLD进行产品开发可以灵活地进行模块配置,大大缩短了产品开发周期,也有利于产品向小型化,集成化的方向发展。论述了基于VHDL语言和CPLD芯片的数字系统设计思想和实现过程。关键词 :彩灯控制器;VHDL;EDAABSTRACT with the development of science and technology, in the modern life, is more and mor

4、e widely application field. With the development of electronic technology, the application system toward miniaturization, the direction of the rapidness, large capacity, light weight, the application of EDA technology electronic products and systems development of revolutionary change. As the progra

5、mmable logic devices standard language VHDL language description ability, wide coverage, abstract ability, more and more widely in the practical application, also make people can be free from work, improve the design efficiency, shorten product development cycle. The whole process finished automatic

6、ally by the EDA tools, greatly reduce the design personnels work strength, improve the quality of the design, reduces the chance of error.A lantern controller, the design can achieve 16 different patterns of change, i.e. there should be a reset switch, use a state machine to control the implementati

7、on. Divider is used to realize the speed control button, control . Assigned tasks according to the above situation, the design of main control circuit can be divided into two modules, the timing control circuit module and display module respectively. Sequential control circuits are according to the

8、input signal is set to get the appropriate output signals, and the display circuit as a signal of the clock signal; Display circuit input clock signal cycle of regular output of 16 different lights change pattern. There are three input signals: the whole system control lights rhythm of the reference

9、 clock signal CLK, CLR, system reset signal lights CHOSE_KEY frequency output selection control signal; A total of 32 output signal LED 31. 0, 32 were used to control the lights. This paper expounds the multi-way lantern controller based on EDA technology, the design and analysis, and in the Quartus

10、 II environment are implemented using VHDL language, and the CPLD is obtained in terms of product development can flexibly configure module, greatly shorten the product development cycle, and conducive to product to miniaturization, the direction of integration. Based on VHDL language and CPLD chip

11、is discussed, and the digital system design ideas and implementation process.Key words lantern controlle;VHDL; EDA1 绪论 1 1.1 课题背景 1 1.2 研究目的和意义 22 设计内容 3 2.1 设计要求 3 2.2 功能描述 3 2.3 设计原理 43模块设计及其功能 5 3.1 模块功能描述 5 3.2 时序控制电路设计 5 3.2.1振荡器 6 3.2.2频率发生器 7 3.2.3地址码产生器 8 3.3 显示控制电路设计 10 3.3.1编码发生器 11 3.3.2驱

12、动电路 204 顶层模块设计 215 彩灯控制器仿真测试 22 5.1频率发生器模块仿真波形 22 5.2地址码产生器模块仿真波形 23 5.3编码发生器模块仿真波形 24 5.4彩灯控制器顶层文件仿真波形 256 总 结 26参考文献 27致 谢 29附 录 程序清单 301 绪论随着科技日新月异的发展,在现代生活中,彩灯作为一种景观,安置在各种建筑物的适当地方作为装饰添加气氛,达到了广告宣传的作用。对实时动态效果的灯光进行实时控制的装置很多,如电脑编程多路彩灯控制器、CEC电脑彩灯控制器、EPROM程控编码彩灯控制器和计算机灯光控制系统。以上这些控制装置均运用计算机技术、电子信息技术和声光

13、及无线技术,对被控灯光系统按设定的要求进行亮、灭灯等控制,形成了各种各样的灯光图案效果,有时还配以音乐和水幕喷射,营造了梦幻般的艺术特景效果,让人叹为观止。1.1 课题背景在经济和商业高度发达的今天,彩灯已经成为人民日常生活不可或缺的一部分,已经逐渐开始被越来越多的人们所关注,在家庭中的使用率不断提高,大大的丰富和改善了人民的生活。彩灯可由不同颜色色彩的LED灯组成,通过控制不同颜色的灯的亮与灭时间顺序,呈现出不同的花型和图案,极大的丰富了乏味的现代都市夜景,让城市圈成为了多姿多彩的不夜城。此次设计主要是根据可编程逻辑器件,使用硬件描述语言VHDL,采用了“自顶而下”的设计思路,完成一个32路

14、彩灯控制器的设计,并且使用Quartus II仿真软件来仿真结果。VHDL(Very High Speed Integrated Circuit Hardware Description Language,超高速集成电路硬件描述语言)诞生于1982年,是由美国国防部牵头开发的一种快速设计电路的工具,目前已经成为IEEE(The Institute of Electrical and Electronics Engineers)的一种工业标准硬件描述语言。相比传统的电路系统的设计方法,VHDL具有多层次描述系统硬件功能的能力,可支持自顶向下(Top to Down)和基于库(LibraryBas

15、ed)的设计的特点,因此设计人员可不必了解硬件结构。从系统设计入手,在顶层进行系统方框图的划分和结构设计,在方框图一级用VHDL对电路的行为进行描述,并进行仿真和纠错,然后在系统一级进行验证,最后再用逻辑综合优化工具生成具体的门级逻辑电路的网表,下载到具体的CPLD器件中去,从而实现可编程的专用集成电路(ASIC)的设计。用VHDL语言进行数字逻辑电路和数字系统的设计,是电子电路设计方法上的一次革命性变革。与传统设计方法相比,与其它硬件设计方法相比,用VHDL进行工程设计的优点是多方面的:具有很强的行为描述能力,可支持大规模设计的分解和已有设计的再利用,可读性良好,便于发现错误及修改,使用其仿

16、真器对VHDL源代码进行仿真允许设计者不依赖于器件,容易发现设计中出现的问题。实现了设计与工艺无关,可移植性较好,面向市场时间快,成本低,性价比和ASIC移植等优点。1.2 研究目的和意义如今随着时代和科技日异月新的发展和突破,彩灯作为一种景观应用越来越多,现实生活中,彩灯作为一种装饰和艺术渲染工具,起到很好的广告宣传作用,为人们增添了节日气氛,也为人们的生活增添了一道亮丽的色彩。在电子电路设计领域中,电子设计自动化(EDA)工具已成为主要的设计手段。它的发展给电子系统的设计带来了革命性的变化,EDA软件设计工具,硬件描述语言,可编程逻辑器件(PLD)使得EDA技术的应用走向普及。现代生活中,彩灯已经成为必不可少的景观,本次设计本着与实际生活密切联系的原则,论述了使用VHDL设计32路彩灯控制器的过程。随着科学技术的发展以及人民生活

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1