精品基于EDA的FPGA的实验开发板的设计与程序调试毕业论文报告Word下载.docx

上传人:b****1 文档编号:13715475 上传时间:2022-10-13 格式:DOCX 页数:15 大小:719.99KB
下载 相关 举报
精品基于EDA的FPGA的实验开发板的设计与程序调试毕业论文报告Word下载.docx_第1页
第1页 / 共15页
精品基于EDA的FPGA的实验开发板的设计与程序调试毕业论文报告Word下载.docx_第2页
第2页 / 共15页
精品基于EDA的FPGA的实验开发板的设计与程序调试毕业论文报告Word下载.docx_第3页
第3页 / 共15页
精品基于EDA的FPGA的实验开发板的设计与程序调试毕业论文报告Word下载.docx_第4页
第4页 / 共15页
精品基于EDA的FPGA的实验开发板的设计与程序调试毕业论文报告Word下载.docx_第5页
第5页 / 共15页
点击查看更多>>
下载资源
资源描述

精品基于EDA的FPGA的实验开发板的设计与程序调试毕业论文报告Word下载.docx

《精品基于EDA的FPGA的实验开发板的设计与程序调试毕业论文报告Word下载.docx》由会员分享,可在线阅读,更多相关《精品基于EDA的FPGA的实验开发板的设计与程序调试毕业论文报告Word下载.docx(15页珍藏版)》请在冰豆网上搜索。

精品基于EDA的FPGA的实验开发板的设计与程序调试毕业论文报告Word下载.docx

设计任务及内容

设计任务:

基于EDA的FPGA的实验开发板的设计与程序调试。

设计内容:

开发板底板和核心板原理图以及PCB的设计,程序的编写与下载调试。

二:

系统硬件设计

核心板部分

1、电源部分:

通过5V直流供电,经过电容滤波

2、蜂鸣器部分:

通过三极管驱动蜂鸣器,可以播放一些简单的音乐

3、PS2键盘:

可以用作一般通讯目的或者嵌入式系统和片上系统调试

LCD模块接口,显示点阵为128x64;

一种为T6963控制器的LCD模块

5、串口:

数据通信,提高数据传输速度

6、VGA部分:

VGA接口就是显卡上输出模拟信号的接口

7、步进电机部分:

通过编写程序可以控制步进电机的转速

8、LED灯部分:

可以通过vhdl语言编程来控制发光二极管按顺序依次点亮

9、按键部分:

人机交换部分,更加智能与方便

10、数码管部分:

11、双排底座:

与核心板的链接部分

1、晶振部分:

为芯片提供时钟信号

2、排针部分

与外部器件的连接部分

3、电源部分:

为芯片供电

4、JTAG部分:

与计算机进行数据通信,程序的下载

5、核心芯片:

FPGA核心部分,控制各模块的工作

三:

系统软件设计

四:

系统调试

软件仿真:

利用quartus2软件将编写好的程序进行时序仿真,得到波形图如下

五课程设计总结

通过这次课程设计,让我们学会了如何应用AD6这款软件进行原理图与PCB的绘制,期间遇到了很多困难,不过通过查询资料和咨询老师,我们最后还是能够基本上完成了任务。

通过这次课设,学会了很多东西,比如如何自己利用AD6绘制一个元器件,怎么利用AD6进行PCB正方面的设计,怎么布铜等等。

当然只是通过这次课设我们也学会了团队合作的重要性,组员之间分工明确,使得任务完成的更加轻松。

总之,这次可是让我们受益匪浅,感谢学校给我们这次机会,更加感谢刘老师的悉心指导!

六参考文献

1、sopc-eda实验指导书

2、阎石.数字电子技术基础.北京:

高等教育出版社,2006

3、AD6使用指导

4、FPGA开发板使用说明书

附录:

底板原理图与PCB

核心板原理图与PCB

程序代码:

libraryIEEE;

useIEEE.STD_LOGIC_1164.ALL;

useIEEE.STD_LOGIC_ARITH.ALL;

useIEEE.STD_LOGIC_UNSIGNED.ALL;

ENTITYseg70IS

PORT(

clk:

INstd_logic;

rst:

dataout:

OUTstd_logic_vector(7DOWNTO0);

--各段数据输出

en:

OUTstd_logic_vector(7DOWNTO0));

--COM使能输出

ENDseg70;

ARCHITECTUREarchOFseg70IS

signalcnt_scan:

std_logic_vector(15downto0);

signaldata4:

std_logic_vector(3downto0);

signaldataout_xhdl1:

std_logic_vector(7downto0);

signalen_xhdl:

begin

dataout<

=dataout_xhdl1;

en<

=en_xhdl;

process(clk,rst)

begin

if(rst='

0'

)then

elsif(clk'

eventandclk='

1'

)then

cnt_scan<

=cnt_scan+1;

endif;

endprocess;

process(cnt_scan(15downto13))

casecnt_scan(15downto13)is

endcase;

process(en_xhdl)

caseen_xhdlis

whenothers=>

data4<

="

1000"

;

endprocess;

process(data4)

casedata4is

WHEN"

0000"

=>

0001"

0010"

0011"

0100"

0101"

0110"

0111"

1001"

1010"

1011"

1100"

1101"

1110"

1111"

WHENOTHERS=>

ENDCASE;

ENDPROCESS;

endarch;

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 幼儿教育 > 幼儿读物

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1