交通灯控制电路毕业设计报告Word格式.docx

上传人:b****2 文档编号:13426626 上传时间:2022-10-10 格式:DOCX 页数:11 大小:400.16KB
下载 相关 举报
交通灯控制电路毕业设计报告Word格式.docx_第1页
第1页 / 共11页
交通灯控制电路毕业设计报告Word格式.docx_第2页
第2页 / 共11页
交通灯控制电路毕业设计报告Word格式.docx_第3页
第3页 / 共11页
交通灯控制电路毕业设计报告Word格式.docx_第4页
第4页 / 共11页
交通灯控制电路毕业设计报告Word格式.docx_第5页
第5页 / 共11页
点击查看更多>>
下载资源
资源描述

交通灯控制电路毕业设计报告Word格式.docx

《交通灯控制电路毕业设计报告Word格式.docx》由会员分享,可在线阅读,更多相关《交通灯控制电路毕业设计报告Word格式.docx(11页珍藏版)》请在冰豆网上搜索。

交通灯控制电路毕业设计报告Word格式.docx

建工程、编译、仿真、下载运行·

5,收获及心得体会,主要遇到的问题及解决过程·

参考文献,参考书籍

设计题目:

交通灯控制电路

设计任务:

设计一个十字路口的红、绿、黄三色信号交通灯控制电路。

设计要求:

1).用红、绿、黄三色发光二极管作信号灯。

主干道为东西向,有红、绿、黄三个灯;

支干道为南北向,也有红、绿、黄三个灯。

红灯亮禁止通行;

绿灯亮允许通行;

黄灯亮则给行驶中的车辆有时间停靠到禁行线之外。

2).由于主干道车辆较多而支干道车辆较少,所以主干道绿灯时间较长。

当主干道允许通行亮绿灯时,支干道亮红灯。

而支干道允许通行亮绿灯时,主干道亮红灯,两者交替重复。

主干道每次放行50秒,支干道每次放行30秒。

在每次由亮绿灯变成亮红灯的转换过程中间,需要亮5秒的黄灯作为过渡,以使行驶中的车辆有时间停靠到禁行线以外。

3).能实现正常的、即时显示功能。

用学习机上的四个七段数码管作为倒计时显示器。

分别显示东西、南北方向的红灯、绿灯、黄灯时间。

4).能实现特殊状态的功能显示。

设S为特殊状态的传感器信号,当S=1时,进入特殊状态。

当S=0时,退出特殊状态。

按S后,能实现特殊状态功能:

(1)显示器闪烁;

(2)计数器停止计数并保持在原来的数据;

(3)东西、南北路口均显示红灯状态;

(4)特殊状态结束后,能继续对时间进行计数。

5).能实现总体清零功能。

按下R后,系统实现总清零,计数器由初始状态开始计数,对应状态的指示灯亮。

6).利用MAXPLUSⅡ软件或Foundation软件,设计符合以上功能要求的交通灯控制器。

用图形输入方法。

控制器、计数器的功能用功能仿真的方法验证,可通过观察有关波形确认电路设计是否正确。

通过编译,仿真和综合,并下载到相应芯片中实现,最后在学习机上验证设计课题的正确性。

在学习机上验证结果。

电路图和线路图,电路工作原理,系统框图

整体设计电路布局和线路图

Clock的分频电路(74292分频器)

数码管显示电路(显示交通灯工作时间)

主控制电路(控制交通灯各种工作状态)

交通灯显示电路(红、黄、绿灯显示)

交通灯控制器状态图

交通灯控制器框图

QuartusⅡ的运行环境及DE2实验板简介

QuartusII软件的基本使用

(目标:

了解DE2板,完成课程设计任务。

QuartusII中的三种文件:

①quartusIIprojectfile(.qpf)工程文件

②quartusIIdefaultfile(.qdf)缺省文件:

用于保存工程的设定和缺省管脚分配

③quartusIIsettingfile(.qsf)配置文件:

用于保存所有设定和约束性管脚分配

Step1(启动QuartusII6.0)

1在文件菜单下,选择新建工程,向导对话框出现,按“下一步”

2设定相关文件路径、文件名等

Table1.向导中第一页的设定

工程工作路径Selectfromonebelow.(FortheTimeQuestversion,thelab

directorywillbeLAB1_4)

<

lab_install_directory>

\QII6_0\Lab1_5\VHDL

\QII6_0\Lab1_5\Verilog

\QII6_0\Lab1_5\Schematic

(Askinstructorforthelocationofthelabinstallationdirectory)

工程名pipemult

顶层中实体名(与工程名一致)pipemult

3选择“下一步”,进入到第二页

4由于所有的文件共享同一个名字,此时不需添加其它的文件,选择“下一步”

5设定器件,器件系列选用CycloneII,封装选用FBGA,引脚数选256,速度级别选”

Fastest”,在器件列表中选择EP2C5F256C6,选择“下一步”。

6在第四页中,可以选择第三方的EDA工具。

由于练习是在QuartusII中进行的,所以选择

“下一步”。

7然后出现概述的页面,就选择“完成“。

工程就建好了。

Step2设计输入

(以图形编辑器为例)

1建立文件File-〉New,选择BlockDiagram/SchematicFile.

2保存文件File-〉Saveas,同时选中AddfiletoCurrentproject.

3用图形编辑器输入设计的四个步骤:

导入逻辑门电路符号、导入输入/输出符号、用线连接节点、编译电路。

4导入逻辑门电路符号

用鼠标双击图形编辑器窗口的空白处或单击左侧工具条中的“门电路”图标。

由此进入到

“库”中进行选择所需元件。

5导入输入/输出符号

与第4项类似。

6用线连接节点

点击直角连线工具图标,然后将鼠标置于元件边沿,按下鼠标左键保持不变,拖放连线

至另一元件的端点上。

7编译电路

使用processing->

startcompilation菜单或单击相应图标运行编译器。

若编译成功通

过,则出现compilationreport窗口;

若编译过程出现错误,则编译自动中止,并在消息

框中显示错误信息。

Step3分配引脚

在DE2平台上,FPGA与外部的连线是确定的,要让电路能在DE2上运行,必须为设计

分配引脚。

方法1:

菜单命令Assignments->

Pins,对应location一栏,连线的配置可参见

“DE2_pin_assignments.csv”

方法2:

文件配置

在.qsf文件中导入引脚设置,用菜单命令Assignments->

ImportAssignments.为方便

使用,节点名最好改成“DE2_pin_assignments.csv”文件中定义的方式。

Step4编程下载

本课程设计中,采用JTAG模式下载设计。

(SW19置于RUN位置)

1菜单命令Tools->

Programmer打开编程窗口

2若没有显示硬件,则单击HardwareSetup..,打开硬件设置窗口。

3双击USBBlaster,然后单击close,完成硬件设置。

4添加下载文件,找到.sof,选中program/configure.

5单击start按钮,开始编程,编程结束后,可按设计方案运行。

操作过程:

建工程、编译、仿真、下载运行

1,新建文件

a,设计并画出电路图时需新建文件

“new”--“blockdiagram/schematicfile”

b,进入block的编辑区,在那里可以插入各种电子器件,如74292分频器,160计数器,190减法器,还有各种门电路,电导线等等。

基本上在试验中用到的电子器件都有。

c,在稿纸上先设计好电路图,然后在block中画好电子电路图。

2,新建项目

a打开新建项目指南“new”—“newprojectwizard”

b选择工作目录,可以在任何盘。

c项目名称,可以是任何英文名字。

推荐使用和顶层设计名相同的名字。

d顶层设计名字必须和顶层文件名字相同。

6,建好工程以后,检查接线,及电路接法,适当时进行编译,单击工具栏上的红色三角标志,提示是否保存修改,选择“是”。

进行编译。

(编译界面图)

7,过程中会因为错误提示编译不成功,选择errors的出错提示,按F1可以看到电路出错位置和原因,检查并修改,继续编译,直到编译通过为止。

8,在下载到电子板上运行前,可以进行波形仿真,选择“processing”—“startsimulation”,然后选择适当输入参数,即可进行波形仿真。

这种仿真也有利于检查电路出错的原因和位置,便于修改。

9,进行编译仿真之后,就要下载到电子板上去运行,并实现设计要求的功能。

10,首先进行管脚分配,在”assignment”–“pins”,然后对照老师给出的管脚图,一一分配好,各个输入输出和控制管脚,下载,并且运行。

11,基本上搞定整个过程,保存以上各个过程的数据和图形,以便日后调用不必重新分配。

注意:

选择与本台计算机匹配的电子版,不然会出错。

管脚不能重复。

而且功能应该对应

收获及心得体会,主要遇到的问题及解决过程·

在实训过程中遇到了不少的困难,首先就是刚拿到题目的时候不知从何下手,毕竟数电的学习是上学期的事了,知识有些生疏,所以呢头两天都是在复习和学习相关的知识,学过的和没有学过的。

真正着手设计电路是第三天,对题目也有了一定的了解,基本定了设计方案和所需配件。

不过接下来,就是对在电脑上进行仿真和编译遇到了困难,因为是第一次接触QuartusⅡ这个软件,所以大家基本不怎么会用,只好一步一步的边学边用,这个过程中老师给了很大的帮助,同学之间的讨论,能很快的了解和分析问题,特别是在编译出错时,同学组员之间互相帮助解决问题,学到了不少知识。

当然,老师的敬业精神和不倦的教导给了我们很大的鼓励和帮助。

在实训结束的前一天,我们基本完成我们的任务要求,总结起来是受益良多的,特别是设计任务是有关生活实际的问题,“交通灯”大家每天都在接触,可是我是从实训中才真正了解到它的工作原理,原来并不是那么的复杂和抽象,让我们在生活和身边运用并深刻体会了书本知识具体化。

设想:

如果交通灯在工作的时候,能多多结合当时当地的交通情况,灵活的调整通疏和禁行之间的关系,希望它能自主分析(自动分析)并做出自由调整通停时间。

比如说:

当遇到上班高峰期时,交通灯匹配的摄像头拍照分析,主干道的车流情况,可以适当延长车辆较多的通车时间,同时缩短待车时间。

在闲时适当根据情况缩短行人通行时间,特别是夜深人静,人流较少时。

总的来说就是想通过自主分析,达到资源和时间的优化安排,减少事故和损耗。

《数字电子技术》、《Quartus软件入门》、《EDA设计基础》、《数字集成电路手册》、《基于EDA的电子编程与设计指导》,还有一些网上的设计思路和方案参考资料等。

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 工作范文 > 演讲主持

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1