QuartusII使用教程完整实例.docx

上传人:b****5 文档编号:11809775 上传时间:2023-04-02 格式:DOCX 页数:14 大小:503.66KB
下载 相关 举报
QuartusII使用教程完整实例.docx_第1页
第1页 / 共14页
QuartusII使用教程完整实例.docx_第2页
第2页 / 共14页
QuartusII使用教程完整实例.docx_第3页
第3页 / 共14页
QuartusII使用教程完整实例.docx_第4页
第4页 / 共14页
QuartusII使用教程完整实例.docx_第5页
第5页 / 共14页
点击查看更多>>
下载资源
资源描述

QuartusII使用教程完整实例.docx

《QuartusII使用教程完整实例.docx》由会员分享,可在线阅读,更多相关《QuartusII使用教程完整实例.docx(14页珍藏版)》请在冰豆网上搜索。

QuartusII使用教程完整实例.docx

QuartusII使用教程完整实例

QuartusⅡ入门教程之答禄夫天创作

时间:

二O二一年七月二十九日

(一个Verilog法式的编译和功能仿真)

QuartusⅡ是Altera公司推出的专业EDA工具,支持原理图输入、硬件描述语言的输入等多种输入方式.硬件描述语言的输入方式是利用类似高级法式的设计方法来设计出数字系统.接下来我们对这种智能的EDA工具进行初步的学习.使年夜家以后的数字系统设计更加容易上手.

菜单栏

快捷工具栏

第一步:

翻开软件

任务管理窗口

信息栏

工作区

资源管理窗口

●快捷工具栏:

提供设置(setting),编译(compile)等快捷方式,方便用户使用,用户也可以在菜单栏的下拉菜单找到相应的选项.

●菜单栏:

软件所有功能的控制选项都可以在其下拉菜单中找到.

●信息栏:

编译或者综合整个过程的详细信息显示窗口,包括编译通过信息和报错信息.

所建工程的保管路径

第二步:

新建工程(file>newProjectWizard)

1工程名称:

顶层模块名(芯片级设计为实体名),要求与工程名称相同

如果有已经存在的文件就在该过程中添加,软件将直接将用户所添加的文件添加到工程中.

工程名称

2添加已有文件(没有已有文件的直接跳过next)

3选择芯片型号(我们选择MAX3000A系列下的EPM3256AQC208-10芯片)

(注:

如果不下载到开发板上进行测试,这一步可以不用设置)

选择芯片

快速搜索所需的芯片

所选的芯片的系列型号

4选择仿真,综合工具(第一次实验全部利用quartus做,三项都选None,然后next)

选择时序分析仪

选择第三方仿真工具,如果使用Quartus内部仿真工具则选择none

选择第三方综合工具,如果使用Quartus内部综合工具则选择none

5工程建立完成(点finish)

工程建立完成,该窗口显示所建立工程所有的芯片,其他第三方EDA工具选择情况,以及模块名等等信息.

第三步:

添加文件(file>new>VHDLfile),新建完成之后要先保管.

我们选择VerilogHDLFile设计文件格式既选择Verilog文本输入形式

第四步:

编写法式

以实现一个与门和或门为例,Verilog描述源文件如下:

moduletest(a,b,out1,out2);

inputa,b;

Outputout1,out2;

assignout1=a&b;

assignout2=a|b;

endmodule

然后保管源文件;

第五步:

检查语法(点击工具栏的这个按钮

(startAnalysis&synthesis))

语法检查胜利,没有error级别以上的毛病

该窗口显示了语法检查后的详细信息,包括所使用的io口资源的几多等外容,相应的英文名年夜家可以自己查阅

点击确定完成语法检查

第六步:

(锁定引脚,点击工具栏的

(pinplanner))

(注:

如果不下载到开发板上进行测试,引脚可以不用分配)

顶层某块的输入输出口与物理的芯片端口想对应

各个端口的输入输出类型

双击location为您的输入输出配置引脚.

选择为使用端口选项卡

第七步:

整体编译(工具栏的按钮

(startComplilation))

该窗口给出综合后代码的资源使用情况既芯片型号等等信息.

第八步:

功能仿真(直接利用quratus进行功能仿真)

1将仿真类型设置为功能仿真(Assignments>setting>SimulatorSettings>下拉>Function)

Functional暗示功能仿真,既不包括时序信息,timinng暗示时序仿真.加入线及寄存器的延时信息

2建立一个波形文件:

(new>VectorWaveformFile)

添加波形文件作为信号输出文件,以便观察信号的输出情况

然后导入引脚(双击Name下面空白区域>NodeFinder>list>点击

):

点击发生端口列表

点击如下图添加信号

双击弹出右边的对话框

接下来设置激励信号(单击

>选择

>Timing>Multipliedby1)

我们自界说的输入信号

设置输入信号周期

设置仿真的开始及结束时间

设置b信号源的时候类同设置a信号源,最后一步改为Multipliedby2

然后要先生成仿真需要的网表(工具栏processing>GenerateFunctionalSimulationNetlist)

接下来开始仿真(仿真前要将波形文件保管,点击工具栏

开始仿真):

由a,b两个信号经过我们设计的模块发生的结果

观察波形,刚好符合我们的逻辑.功能仿真通过.

第九步:

下载(点击

(Programmer),再点击HardwareSetup配置下载电缆,单击弹出窗口的“AddHardware”按钮,选择并口下载ByteBlasterMVorByteBlasterMVⅡ,单击“Close”按钮完成设置.CPLD器件生成的下载文件后缀名为.pof,点击下图所示方框,选中下载文件,然后直接点击start按钮开始下载)

点击该按钮开始下载

下载进度条

下载是该选项必需打勾

完!

时间:

二O二一年七月二十九日

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 解决方案

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1