1111论文主体页眉页脚.docx

上传人:b****4 文档编号:11711224 上传时间:2023-03-30 格式:DOCX 页数:25 大小:836.71KB
下载 相关 举报
1111论文主体页眉页脚.docx_第1页
第1页 / 共25页
1111论文主体页眉页脚.docx_第2页
第2页 / 共25页
1111论文主体页眉页脚.docx_第3页
第3页 / 共25页
1111论文主体页眉页脚.docx_第4页
第4页 / 共25页
1111论文主体页眉页脚.docx_第5页
第5页 / 共25页
点击查看更多>>
下载资源
资源描述

1111论文主体页眉页脚.docx

《1111论文主体页眉页脚.docx》由会员分享,可在线阅读,更多相关《1111论文主体页眉页脚.docx(25页珍藏版)》请在冰豆网上搜索。

1111论文主体页眉页脚.docx

1111论文主体页眉页脚

xiee目录

摘要2

ABSTRACT3

第1章数字滤波器设计原理4

1.1数字滤波器的定义和分类4

1.2数字滤波器的优点4

1.3FIR滤波器的基本原理5

1.3.1FIR数字滤波器的特点和结构5

1.3.2FIR滤波器的优点7

1.4FIR和IIR滤波器的比较8

第2章系统硬件设计10

2.1系统设计方案10

2.1.1窗函数法的基本思想10

2.1.2用频率抽样法设计FIR滤波器11

2.2主控模块11

第3章FIR数字滤波器的MATLAB设计原理方法13

3.1FIR滤波器的设计原理13

3.2窗函数法13

第4章数字滤波器的CCS实现17

4.1简述CCS环境17

4.2CCS特点17

4.3CCS的配置17

4.4CCS环境中工程文件的使用18

4.4.1建立工程文件18

4.4.2创立新文件19

4.4.3向工程中添加文件19

4.5编译链接装载20

4.6利用Matlab产生噪声信号用于滤波器测试21

4.7将滤波器设计文件载入到内存中22

4.8查看滤波器滤波效果23

4.9观察结果24

总结26

致谢27

参考文献28

摘要

滤波器的设计是数字信号处理中最基础的部分,也是比较重要的部分。

首先采用MATLAB对滤波器进行仿真,使用的是它自带的函数库,仿真成功后得到滤波器的滤波系数,然后再基于TMS320C5402DSP芯片采用C语言实现FIR数字滤波器。

其中用到了CCS作为DSP开发环境。

FIR滤波器主要采用非递归结构,因此在有限精度运算中不存在稳定性问题,误差较小。

而且它能适应某些特殊的场合,如构成微分器或微分器,因而有更大的适应性。

关键词:

滤波器;FIR;MATLAB;TMS320C54X;CCS

 

ABSTRACT

Filterdesignisthemostbasicofthedigitalsignalprocessingpart,isalsoanimportantpartof.FirstusedtofilterMATLABsimulation,usingitsbuilt-infunctions,afterthesuccessofsimulationbythefiltercoefficientofthefilter,andthenbasedontheTMS320VC5402DSPchipusingClanguagetorealizeFIRdigitalfilter.WhichusestheCCSastheDSPdevelopmentenvironment.TheFIRfilterusedmainlynonrecursivestructure,thereforeinfiniteprecisionarithmeticdoesnotexistinthestabilityproblem,errorissmall.Butitcanadapttocertainspecialoccasions,suchasadifferentialoradifferential,whichhavegreateradaptability.

Keywords:

filter;FIR;MATLAB;TMS320C54X;CCS

 

第1章数字滤波器设计原理

1.1数字滤波器的定义和分类

数字滤波器是指完成信号滤波处理功能的,用有限精度算法实现的离散时间线性非时变系统,其输入是一组数字量,其输出是经过变换的另一组数字量。

因此,数字滤波器本身既可以是用数字硬件装配成的一台完成给定运算的专用的数字计算机,也可以将所需要的运算编成程序,让通用计算机来执行。

从数字滤波器的单位冲击响应来看,可以分为两大类:

有限冲击响应(FIR)数字滤波器和无限冲击响应(IIR)数字滤波器。

滤波器按功能上分可以分为低通滤波器(LPF)、高通滤波器(HPF)、带通滤波器(BPF)、带阻滤波器(BSF)。

按选择物理量分类,滤波器可分为频率选择、幅度选择、时间选择(例如PCM制中的话路信号)和信息选择(例如匹配滤波器)等四类滤波器器又可分为有源、无源、异类三个分类;离散滤波器又可分为数字、取样模拟、混合三个分类。

当然,每个分类又可继续分下去,总之,它们的分类可以形成一个树形结构,如图1-1所示。

1.2数字滤波器的优点

相对于模拟滤波器,数字滤波器没有漂移,能够处理低频信号,频率响应特性可做成非常接近于理想的特性,且精度可以达到很高,容易集成等,这些优势决定了数字滤波器的应用将会越来越广泛。

同时DSP处理器(DigitalSignalProcessor)的出现和FPGA(FieldProgrammableGateArray)的迅速发展也促进了数字滤波器的发展,并为数字滤波器的硬件实现提供了更多的选择。

数字滤波器具有以下显著优点:

精度高:

模拟电路中元件精度很难达到10-3,以上,而数字系统17位字长就可以达到10-5精度。

因此在一些精度要求很高的滤波系统中,就必须采用数字滤波器来实现。

灵活性大:

数字滤波器的性能主要取决于乘法器的各系数,而这些系数是存放在系数存储器中的,只要改变存储器中存放的系数,就可以得到不同的系统,这些都比改变模拟滤波器系统的特性要容易和方便的多,因而具有很大的灵活性。

可靠性高:

因为数字系统只有两个电平信号:

"1”和“0",受噪声及环境条件的影响小,而模拟滤波器各个参数都有一定的温度系数,易受温度、振动、电磁感应等影响。

并且数字滤波器多采用大规模集成电路,如用CPLD或FPGA来实现,也可

按处理信号类型分类,可分为模拟滤波器和离散滤波器两大类。

其中模拟滤波以用专用的DSP处理器来实现,这些大规模集成电路的故障率远比众多分立元件构成的模拟系统的故障率低。

易于大规模集成:

因为数字部件具有高度的规范性,便于大规模集成,大规模生产,且数字滤波电路主要工作在截止或饱和状态,对电路参数要求不严格。

因此产品的成品率高,价格也日趋降低。

相对于模拟滤波器,数字滤波器在体积、重量和性能方面的优势己越来越明显。

比如在用一些用模拟网络做的低频滤波器中,网络的电感和电容的数值会大到惊人的程度,甚至不能很好地实现,这时候若采用数字滤波器则方便的多。

并行处理:

数字滤波器的另外一个最大优点就是可以实现并行处理,比如数字滤波器可采用DSP处理器来实现并行处理。

TI公司的TMS320C5000系列的DSP芯片采用8条指令并行处理的结构,时钟频率为100MHZ的DSP芯片,可高达100MIPs(即每秒执行百万条指令)。

1.3FIR滤波器的基本原理

1.3.1FIR数字滤波器的特点和结构

在数字信号处理应用中往往需要设计线性相位的滤波器,FIR滤波器在保证幅度特性满足技术要求的同时,很容易做到严格的线性相位特性。

FIR滤波器不断地对输入样本x(n)延时后,再作乘法累加算法,将滤波结果y(n)输出,因此,FIR实际上是一种乘法累加运算。

在数字滤波器中,FIR滤波器的最主要的特点是没有反馈回路,故不存在不稳定的问题,同时,可以在幅度特性是随意设置的同时,保证精确的线性相位。

稳定和线性相位特性是FIR滤波器的突出优点。

另外,它还有以下特点:

设计方式是线性的;硬件容易实现;滤波器过渡过程具有有限区间;相对IIR滤波器而言,阶次较高,其延迟也要比同样性能的IIR滤波器大得多。

FIR数字滤波器系统的传递函数为:

(1.1)

通过反z变换,数字滤波器的差分方程为:

(1.2)

由此得到系统的差分方程:

(1.3)

由上式可以得出如下图所示的直接型结构,这种结构又可以称为卷积型结构。

将转置理论可以得到转置直接型结构。

将式中的系统函数H(z)分解成若干一阶和二阶多项式的连乘积:

(1.4)

则可构成如图所示的级联型结构。

其中为一阶节

;为二阶节。

每个一阶节、二阶节可用图1-2所示的直接型结构实现。

当M1=M2时,即得到图1-3所示的具体结构。

这种结构的每一节都便于控制零点,在需要控制传输零点时可以采用。

但是它所需要的系数a比直接型的h(n)多,所需要的乘法运算也比直接型多。

在对滤波器计算时间没有特殊要求的时候可以采用这种形式。

若需要严格考虑滤波器的计算时间则需要折衷它们的优点和缺点来设计。

这在算法设计时候要使用软件编辑环境来计算运行的时间问题。

通常FIR的计算时间都较长。

很多时候我们需要牺牲时间来获得想要得到的滤波器功能。

 

 

图1-2级联型结构图

图1-3级联型具体结构

FIR滤波器实质上就是一个分节的延迟线,把每一节的输出用滤波器系数进行加权累加,便得到滤波器的输出结果,它总是稳定并且可实现的。

在一些工程实际应用(如:

图像处理、数据调制解调)中,往往对相位要求较高。

FIR滤波器可以实现严格的线性相位,从而得到了广泛应用。

它的差分方程数学表达式为:

1.3.2FIR滤波器的优点

可以在幅度特性随意设计的同时,保证精确、严格的线性相位;由于FIR滤波器的单位脉冲h(n)是有限长序列,因此FIR滤波器没有不稳定的问题;由于FIR滤波器一般为非递归结构,因此,在有限运算下不会出现递归型结构中的极限振荡等不稳定现象误差较小;FIR滤波器可以采用FFT算法实现,从而提高了运算效率。

总结FIR数字滤波器的优点:

很容易获得严格的线性相位,避免被处理的信号产生相位失真,这一特点在宽频带信号处理、阵列信号处理、数据传输等系统中非常重要;

可得到多带幅频特性;

极点全部在原点(永远稳定),无稳定性问题;

任何一个非因果的有限长序列,总可以通过一定的延时,转变为因果序列,所以因果性总是满足;

无反馈运算,运算误差小;

FIR数字滤波器的缺点;

因为无极点,要获得好的过渡带特性,需以较高的阶数为代价;

无法利用模拟滤波器的设计结果,一般无解析设计公式,要借助计算机辅助设计程序完成。

1.4FIR和IIR滤波器的比较

在很多实际应用中如语音和音频信号处理中,数字滤波器来实现选频功能。

因此,指标的形式应为频域中的幅度和相位响应。

在通带中,通常希望具有线性相位响应。

在FIR滤波器中可以得到精确的线性相位。

在IIR滤波器中通带的相位是不可能得到的,因此主要考虑幅度指标。

IIR数字滤波器的设计和模拟滤波器的设计有着紧密的联系,通常要设计出适当地模拟滤波器,再通过一定的频带变换把它转换成为所需要的数字IIR滤波器。

此外,任何数字信号处理系统中也还不可避免地用到模拟滤波器,比如A/D变换器前的抗混叠滤波器及D/A转换后的平缓滤波器,因此模拟滤波器设计也是数字信号处理中应当掌握的技术。

从性能上来说,IIR数字滤波器传递函数包括零点和极点两组可调因素,对极点的唯一限制是在单位圆内。

因此可用较低的阶数获得高的选择性,所用的存储单元少、计算量小、效率高。

但是这个高效率是以相位的非线性为代价的。

选择性越好,则相位非线性越严重。

FIR滤波器传递函数的极点是固定在原点,是不能动的,它只能靠改变零点位置来改变它的性能,所以要达到高的选择性,必须用高的阶数,对于同样的滤波器设计指标,FIR滤波器所要求的阶数可能比IIR滤波器高5-10倍,结果成本高信号延时也较大,如果按线性相位要求来说,则IIR滤波器就必须加全通网络进行相位校正,同样大大增加了滤波器的阶数和复杂性。

而FIR滤波器却可以得到严格的线性相位。

从结构上看,IIR滤波器必须采用递归结构来配置极点,并保证极点位置在单位圆内。

由于有限字长效应,运算过程中将对系数进行舍入处理,引起极点的偏移,这种情况有时会造成稳定性问题,甚至造成寄生振荡。

相反,FIR滤波器只要采用非递归结构,不论在理论上还是实际的有限精度运算中都不存在稳定性问题,因此造成的频率特性误差也较小。

此外FIR滤波器可以采用快速傅立叶变换算法,在相同的阶数条件下运算速度可以快的多。

 

第2章系统硬件设计

2.1系统设计方案

FIR滤波器的设计方法主要有窗函数设计法和频率抽样设计法等,其中窗函

数设计法是最基本的设计方法。

在设计FIR滤波器中,一个最重要的计算就是加

窗,采用矩形窗是最直接和简便的方法,但采用矩形窗存在较大的Gibbis效应,

且矩形窗的第一旁瓣与主瓣相比仅衰减13dB,因此实际设计中一般采用其他窗

函数。

主要介绍几种常用的窗函数和频率抽样设计法等。

2.1.1窗函数法的基本思想

窗函数设计的基本思想是要选取某一种合适的理想频率选择性滤波器,然后将它的脉冲响应截断以得到一个线性相位和因果的FIR滤波器。

因此这种方法的重点在于选择某种合适的窗函数和一种理想滤波器。

对于给定的滤波器技术指标,选择滤波器长度和具有最窄主瓣宽度和尽可能小的旁瓣衰减的某个窗函数。

任何数字滤波器的频率响应都是周期函数,它的傅立叶级数展开式为:

(2.1)

(2.2)

工程中比较常用的窗函数有:

矩形窗函数、三角窗函数、汉宁窗函数、海明窗函数、布莱克曼窗函数和凯塞窗函数。

窗函数的选择原则是:

1.具有较低的旁瓣幅度,尤其是第一旁瓣幅度;

2.旁瓣幅度下降速度要大,以利增加阻带衰减;

3.主瓣的宽度要窄,以获得较陡的过渡带。

通常上述三点很难同时满足。

当选用主瓣宽度较窄时,虽然得到较陡的过渡

带,但通带和阻带的波动明显增加;当选用最小的旁瓣幅度时,虽能得到匀滑的

幅度响应和较小的阻带波动,但过渡带加宽。

因此,实际选用的窗函数往往是它

们的折衷。

在保证主瓣宽度达到一定要求的条件下,适当牺牲主瓣宽度来换取旁

瓣波动的减少。

2.1.2用频率抽样法设计FIR滤波器

所谓频率抽样法就是从频域出发,根据频域的采样定理,对给定的理想滤波器的频域响应进行等间隔采样。

(2.3)

其中k=0,1,2……N-1

当作待设计的滤波器频率响应的采样值

通过下式可以求出滤波器的系统函数

和频率响应

(2.4)

(2.5)

其中,

是一个内插函数:

(2.6)

(2.7)

由于频谱的有限个采样值恢复出来的频率响应实际上是对理想频率响应的逼近,因此,这种方法必然有一定的逼近误差。

若被逼近的频率响应比较平滑,则各采样点之间的逼近误差较小;反之,则逼近误差较大。

2.2主控模块

TMS320C5402的主要特性:

TMS320C5402是TI公司于1996年推出的一种定点DSP芯片,采用先进的修正哈佛结构和8总线结构,使处理器的性能大大提高。

其独立的程序和数据总线,允许同时访问程序存储器和数据存储器,实现高速并行操作。

例如,可以在一条指令中,同时执行3次读操作和1次写操作。

TMS320C5402的运行速度为40MIPS(每秒执行40百万条指令)。

指令周期为25ns。

此外,还可以在数据总线与程序总线之间相互传送数据。

从而使处理器具有在单个期内同时执行算术运算,逻辑运算,位移操作,乘法累加运算以及访问程序和数据存储器的强大功能。

包括:

CPU,总线,存储器,在片外围电路,串行口,以及外部总线接口等部分组成。

1CPU

40位算数逻辑运算单元(ALU),包括1个40位桶形移位寄存器和2个独立的40位累加器。

􀀂17位X17位并行乘法器,与40位专用加法器相连,用于非流水线式单周期乘法/累加(MAC)运算。

比较,选择,存储单元(CSSU),用于加法/比较选择。

双地址生成器,包括8个辅助寄存器和2个辅助寄存器算数运算单元(ARAU)。

2、总线结构

程序总线(PB)传送取自程序存储器的指令代码和立即数。

3条数据总线(CB,DB和EB)将内部各单元连接在一起。

4条地址总线(PAB,CAB,DAB和EAB)传送执行指令所需的地址。

3存储器

192K字可寻址存储空间(64K字程序存储器,64K字数据存储器,以及64K字I/O空间)。

片内2K字ROM。

片内10K字双存取RAM。

4在片外围电路

用来周期产生中断的定时器。

8位主机接口(HPI),用来与主设备或主处理器接口。

一个自动缓冲串口(BSP)和时分多路串行口(TPM),都可用作标准同步串口。

图2-1TMS320C5402DSP的内部硬件组成框图

第3章FIR数字滤波器的MATLAB设计原理方法

3.1FIR滤波器的设计原理

滤波器就是在时间域或频域内,对已知激励,产生规定响应的网络,使其能够从信号中提取并放大有用的信号,抑制并衰减不需要的信号。

数字滤波器的设计,实质上就是对提出的设计要求给出相应的性能指标,再通过计算,使物理可实现的实际滤波器频率响应特性,逼近给出的频率响应特性。

设计完成后,可根据计算结果在FPGA或DSP上实现。

FIR数字滤波器系统的传递函数为:

(3.1)

由此得到系统的差分方程:

y(n)=b(0)x(n)+b

(1)x(n-1)+…+b(N-1)x[n-(N-1)]

若FIR数字滤波器的单位脉冲响应序列为h(n),它就是滤波器系数向量b(n)。

应用Matlab设计FIR滤波器的主要任务就是根据给定的性能指标,设计一个H(z),使其逼近这一指标,进而计算并确定滤波器的系数b(n),再将所设计滤波器的幅频响应、相频响应曲线作为输出,与设计要求进行比较,对设计的滤波器进行优化。

3.2窗函数法

窗函数设计的基本思想是要选取某一种合适的理想频率选择性滤波器,然后将它的脉冲响应截断以得到一个线性相位和因果的FIR滤波器。

因此这种方法的重点在于选择某种合适的窗函数和一种理想滤波器。

对于给定的滤波器技术指标,选择滤波器长度和具有最窄主瓣宽度和尽可能小的旁瓣衰减的某个窗函数。

任何数字滤波器的频率响应都是w的周期函数,它的傅立叶级数展开式为:

(3.2)

(3.3)

其中的Wc为滤波器的归一化的截止频率。

傅立叶系数hd(n)实际上就是理想数字滤波器的冲激响应。

获得有限冲激响应数字滤波器的一种可能方法就是把无穷级数截取为有限项级数来近似,而吉布斯(Gibbs)现象使得直接截取法不甚令人满意。

窗函数法就是用被称为窗函数的有限加权系列{W(n)}来修正式的傅立叶级数,以求得要求的有限冲激响应序列h(n),即有:

h(n)=hd(n)W(n)(3.4)

w(n)是有限长序列,当n>N-1及n<0时,W(n)=0。

用各种窗函数设计FIR数字滤波器

分别用矩形窗和Hamming窗设计线性相位FIR低通滤波器。

通带截止频率

,单位脉冲响应h(n)的长度N=21。

用窗函数法设计FIR数字滤波器时,先求出相应的理想滤波器单位脉冲响应

再根据阻带最小衰减选择合适的窗函数w(n),最后得到FIR滤波器单位脉冲响应

,N=21,所以线性相位理想低通滤波器的单位脉冲响应为:

(3.5)

MATLAB的实现:

%cjf2_1.m

N=21;wc=pi/4;%理想低通滤波器参数

n=0:

N-1;r=(N-1)/2;

hdn=sin(wc*(n-r))/pi./(n-r);%计算理想低通单位脉冲响应

ifrem(N,2)~=0hdn(r+1)=wc/pi;end%N为奇数时,处理n=r点的0/0型

wn1=boxcar(N);%矩形窗

hn1=hdn.*wn1';%加窗

wn2=hamming(N);%hamming窗

hn2=hdn.*wn2';%加窗

w=2*[0:

511]/512;

hw1=fft(hn1,512);

hw2=fft(hn2,512);

subplot(2,2,1);%作图

stem(n,hn1,'.');grid;

xlabel('n');ylabel('h(n)');

title('矩形窗设计的h(n)');%图形划分及标注语句

subplot(2,2,2);

plot(w,20*log10(abs(hw1)));grid;

xlabel('w/pi');ylabel('Magnitude(dB)');

title('幅频特性(dB)');

subplot(2,2,3);

stem(n,hn2,'.');grid;

xlabel('n');ylabel('h(n)');

title('hamming窗设计的h(n)');

subplot(2,2,4);

plot(w,20*log10(abs(hw2)));grid;

xlabel('w/pi');ylabel('Magnitude(dB)');

title('幅频特性(dB)');

作图3-1如下:

图3-1窗函数设计结果

结果分析:

对两种窗函数的设计结果分别如图所示。

由图中可以看出,不同的窗函数生成的过渡带宽度和阻带最小衰减是不同的。

这就是选择窗函数的根据。

利用用fir2函数对FIR数字滤波器的设计。

逼近截止频率wc=0.6

,30阶

%cjf2_2.m

%fir2使用举例

f=[0,0.6,0.6,1];m=[0,0,1,1];%预期设定幅频响应

b=fir2(30,f,m);n=0:

30;%设计FIR数字滤波器系数

subplot(1,2,1);stem(n,b,'.');%画图

xlabel('n');ylabel('h(n)');axis([0,30,-0.4,0.5]);%标注

line([0,30],[0,0]);

[h,w]=freqz(b,1,256);

subplot(1,2,2);plot(w/pi,20*log10(abs(h)));grid;

axis([0,1,-80,0]);xlabel('w/pi');ylabel('幅度(dB)');

 

第4章数字滤波器的CCS实现

4.1简述CCS环境

CCS,即CodeComposerStudio,是TI公司在1999年推出的一个开放、具有强大集成开发环境。

它最初是由GODSP公司为TI的C6000系列DSP开发的。

在TI收购了GODSP后,将CCS扩展到了其它系列。

现在所有TI的DSP都可以使用CCS进行开发,但是其中的DSPBIOS功能只有C5000和C6000的CCS中才提供。

以前的DSP软件开发都是在一个分散的开发环境下进行,程序的编写、代码的生成以及调试等都是要通过命令来完成,类似于以前的DOS,十分烦杂。

而CCS的出现是DSP开发软件的一次革命性的变化。

CCS主要由代码生成工具、CCS集成开发环境、DSPBIOS和API函数以及RTDX组成。

4.2CCS特点

集成可视化代码编辑界面,可以方便地直接编写C、汇编、.h文件、.cmd文件等。

集成代码生成工具,包括汇编器、优化的C编译器和连接器等。

具有完整的基本调试工具,可以载入执行文件(.out),查看寄存器窗口、存储器窗口和变量窗口、反汇编窗口等,支持在C源代码级进行调试。

支持多片DSP联合调试。

断点工具,支持硬件断点、数据空间读/写断点

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 人文社科 > 法律资料

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1