eda实验7段数码显示译码器.docx

上传人:b****7 文档编号:11002851 上传时间:2023-02-24 格式:DOCX 页数:12 大小:600.20KB
下载 相关 举报
eda实验7段数码显示译码器.docx_第1页
第1页 / 共12页
eda实验7段数码显示译码器.docx_第2页
第2页 / 共12页
eda实验7段数码显示译码器.docx_第3页
第3页 / 共12页
eda实验7段数码显示译码器.docx_第4页
第4页 / 共12页
eda实验7段数码显示译码器.docx_第5页
第5页 / 共12页
点击查看更多>>
下载资源
资源描述

eda实验7段数码显示译码器.docx

《eda实验7段数码显示译码器.docx》由会员分享,可在线阅读,更多相关《eda实验7段数码显示译码器.docx(12页珍藏版)》请在冰豆网上搜索。

eda实验7段数码显示译码器.docx

eda实验7段数码显示译码器

7段数码显示译码器设计

宁夏大学物理电气信息学院2010级通信工程专业马福蕊2012/12/16

【摘要】7段数码管是纯组合电路,通常的小规模专用IC,如74或4000系列的器件只能做十进制BCD译码,然而数字系统中的处理和运算都是二进制,所以输出表达都是十六进制的,为了满足十六进制数的译码显示,最方便的方法就是利用译码程序在FPGA/CPLD中来实现。

本实验中的7段译码管输出信号LED7S的7位分别接数码管的7个段,高位在左,低位在右。

【关键字】七段显示译码器;VHDL语言;QuartusⅡ软件

一、相关介绍

1、VHAL语言的简介

VHDL的英文全名是Very-High-SpeedIntegratedCircuitHardwareDescriptionLanguage,诞生于1982年。

1987年底,VHDL被IEEE和美国国防部确认为标准硬件描述语言。

VHDL主要用于描述数字系统的结构,行为,功能和接口。

除了含有许多具有硬件特征的语句外,VHDL的语言形式和描述风格与句法是十分类似于一般的计算机高级语言。

VHDL的程序结构特点是将一项工程设计,或称设计实体(可以是一个元件,一个电路模块或一个系统)分成外部(或称可视部分,及端口)和内部(或称不可视部分),既涉及实体的内部功能和算法完成部分。

在对一个设计实体定义了外部界面后,一旦其内部开发完成后,其他的设计就可以直接调用这个实体。

这种将设计实体分成内外部分的概念是VHDL系统设计的基本点。

VHDL语言用于数字系统设计的主要优点是:

允许用软件描述系统的硬件结构,即描述系统怎样分解为子系统和子系统间怎样互连;允许使用类似常用编程语言形式的系统功能指标;允许对系统设计在制造前以低廉的花费进行性能模拟验证;允许设计的详细结构从更抽象的性能指标出发沿自顶向下的路线分层次地进行综合;允许设计重用和在可编程ASIC器件上生成设计芯片。

2、QuartusII的简介

QuartusII提供了完全集成且与电路结构无关的开发包环境,具有数字逻辑设计的全部特性,包括:

可利用原理图、结构框图、VerilogHDL、AHDL和VHDL完成电路描述,并将其保存为设计实体文件;芯片(电路)平面布局连线编辑;LogicLock增量设计方法,用户可建立并优化系统,然后添加对原始系统的性能影响较小或无影响的后续模块;功能强大的逻辑综合工具;完备的电路功能仿真与时序逻辑仿真工具;定时/时序分析与关键路径延时分析;可使用SignalTapII逻辑分析工具进行嵌入式的逻辑分析;支持软件源文件的添加和创建,并将它们链接起来生成编程文件;使用组合编译方式可一次完成整体设计流程;自动定位编译错误;高效的期间编程与验证工具;可读入标准的EDIF网表文件、VHDL网表文件和Verilog网表文件;能生成第三方EDA软件使用的VHDL网表文件和Verilog网表文件。

二、课程设计

1、熟悉QuartusⅡ软件应用环境,了解实验流程。

2、编写简单的VHDL代码,并在QuartusⅡ中进行调试和验证,并在EDA6000中下载代码和验证。

3、学习7段数码显示译码器的设计。

三、设计实现仪器及环境

QUARTUSII软件、EDA6000等相关软件和试验仪连接线和ByteBlaster[MV]连接线。

四、设计步骤及结果

1、创建文件夹并编辑设计文件

在D盘中创建文件夹取名ex4。

打开QuartusⅡ,选择菜单File中New。

在New窗口中的DeviceDesignFile中选择语言类型VHDLFile。

在该编译窗口中键入本实验程序。

将其保存在D盘的ex4文件夹里。

文件名与实体名一致,类型为vhd。

程序如下

LIBRARYIEEE;--库使用说明

USEIEEE.STD_LOGIC_1164.ALL;--开始改程序包所有项目

ENTITYDecL7SIS--定义实体

PORT(A:

INSTD_LOGIC_VECTOR(3DOWNTO0);--定义信号量及端口模式数据类型

LED7S:

OUTSTD_LOGIC_VECTOR(6DOWNTO0));--同上

END;--结束实体定义

ARCHITECTUREoneOFDecL7SIS--结构体描述

BEGIN--结构体描述开始

PROCESS(A)--进程语句

BEGIN--进程描述开始

CASEA(3DOWNTO0)IS--CASE结构

WHEN"0000"=>LED7S<="0111111";--X"3F"'0(当输入信号量a为0000时将0111111赋给led7s,即7段led数码管显示0)

WHEN"0001"=>LED7S<="0000110";--X"06"'1(同上)

WHEN"0010"=>LED7S<="1011011";--X"5B"'2

WHEN"0011"=>LED7S<="1001111";--X"4F"'3

WHEN"0100"=>LED7S<="1100110";--X"66"'4

WHEN"0101"=>LED7S<="1101101";--X"6D"'5

WHEN"0110"=>LED7S<="1111101";--X"7D"'6

WHEN"0111"=>LED7S<="0000111";--X"07"'7

WHEN"1000"=>LED7S<="1111111";--X"7F"'8

WHEN"1001"=>LED7S<="1101111";--X"6F"'9

WHENOTHERS=>NULL;--当输入超过9时,数码管不显示

ENDCASE;--CASE语句结束

ENDPROCESS;--进程描述结束

END;--结构体描述结束

2、创建工程

(1)选择菜单File中NewProjectWizard命令,在如下“工程设置”对话框中单击右侧“…”按钮,找到文件夹D:

\ex4,选中已存盘的ex4.vhd的文件。

单击Next,将与工程有关的文件加入此工程。

(2)选择仿真器和综合器类型。

都选默认的None。

(3)选择目标芯片ACEX1K系列的EP1K30TC144-3,如下:

(4)工具设置。

这里默认使用QuartusⅡ自含的所有设计工具。

(5)结束设置。

3、编译前设置

(1)选择FPGA目标芯片。

(创建工程时已选定)

(2)选择配置器件的工作方式。

单击Device&PinOptions按钮,选择General项。

设置如下:

(3)选择配置器件和编程方式。

(4)选择输出设置。

(5)选择目标闲置引脚的状态。

4、全程编译

选择Processing菜单中的StartCompilation项,启动全程编译。

如下:

5、时序仿真

(1)打开波形编辑器。

选择菜单File中的New项,选择VectorWaveformFile,单击OK,即出现空白的波形编辑器。

(2)设置仿真时间区域。

在Edit菜单中选择EndTime项,在弹出的Time栏中输入50,单位是µm,单击OK即可。

(3)波形文件存盘。

选择File中的Saveas项,默认存入D:

\ex4中。

(4)将工程ex4中的端口信号名选入波形文件编辑器中。

选择View菜单中UtilityWindows项的NodeFinder选项。

找出ex4中所用的引脚号,并拖入波形文件编辑器中,如下图所示:

(5)编辑输入波形并进行仿真器参数设置。

(6)启动仿真器并观察结果。

如下图;

 

6、生成符号

6、生成RTL电路图

7、引脚设置与下载

选择Assignments菜单中的Pins按钮。

用鼠标将编辑窗口左侧的信号名逐个拖入右侧器件对应的引脚上即可。

(引脚号在软件EDA6000的ACEX1K30芯片中的模式4中找)

8、配置文件下载

连接好试验线路,打开电源开关。

选择菜单Tools中的Programmer,弹出如图对话框。

在模式Mode选择PassiveSerial,将工具栏中Usebitstreamcompressiontoconfiguredeviceswhenavaible选项去掉。

在HardwareSetup对话框中选定ByteBlasterMV[LPT1]。

单击Start按钮,则文件被下载到FPGA实验板中。

在实验箱上观察结果,验证7段显示译码器设想。

结果如下:

五、设计总结

通过这次设计我们了解并掌握VHDL硬件描述语言的设计方法和思想,使自己能将已学过的数字电子系统设计、VHDL程序设计等知识综合运用于电子系统的设计中,基本掌握了运用VHDL设计电子系统的流程和方法,加强和培养了自己对电子系统的设计能力。

我们也了解了VHDL的一些知识,VHDL主要用于描述数字系统的结构,行为,功能和接口。

除了含有许多具有硬件特征的语句外,VHDL的语言形式和描述风格与句法是十分类似于一般的计算机高级语言。

VHDL的程序结构特点是将一项工程设计,或称设计实体(可以是一个元件,一个电路模块或一个系统)分成外部(或称可是部分,及端口)和内部(或称不可视部分),既涉及实体的内部功能和算法完成部分。

在对一个设计实体定义了外部界面后,一旦其内部开发完成后,其他的设计就可以直接调用这个实体。

这种将设计实体分成内外部分的概念是VHDL系统设计的基本点。

VHDL语言作为一种国际标准化的硬件描述语言,自1987年获得IEEE批准以来,经过了1993年和2001年两次修改,至今已被众多的国际知名电子设计自动化(EDA)工具研发商所采用,并随同EDA设计工具一起广泛地进入了数字系统设计与研发领域,目前已成为电子业界普遍接受的一种硬件设计技术。

VHDL语言的运用提高了我们设计电子系统的效率,简单实用,也在此次设计中提升了我们自身运用所学知识的能力,也更加体会出作为团队中的一员要以团队思想为主题发展自己的思维。

【参考文献】【1】EDA技术与VHDL(第2版)潘松黄继业编著清华大学出版社.2007

【2】EDA技术实验与课程设计曹昕燕周凤臣聂春燕编著清华大学出版社.2006

【3】杭州康芯电子有限公司GW48-PK3实验系统说明书.杭州康芯电子有限公司.2006

 

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > PPT模板 > 节日庆典

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1