IC厂家分类.docx

上传人:b****7 文档编号:10899607 上传时间:2023-02-23 格式:DOCX 页数:20 大小:28.60KB
下载 相关 举报
IC厂家分类.docx_第1页
第1页 / 共20页
IC厂家分类.docx_第2页
第2页 / 共20页
IC厂家分类.docx_第3页
第3页 / 共20页
IC厂家分类.docx_第4页
第4页 / 共20页
IC厂家分类.docx_第5页
第5页 / 共20页
点击查看更多>>
下载资源
资源描述

IC厂家分类.docx

《IC厂家分类.docx》由会员分享,可在线阅读,更多相关《IC厂家分类.docx(20页珍藏版)》请在冰豆网上搜索。

IC厂家分类.docx

IC厂家分类

 

我初步认为,应该是:

1、处理器类(CPU、MCU)

2、通讯芯片类,GSM、GPRS、3G(WCMDA)等,用于手机及机站等等

3、Memroy类,SRAM(SDRAM、DDR)、ROM(FLASH闪存)

4、驱动芯片类

LCD驱动,各种接口芯片(电平转换、差分信号驱动NS的LVDS),A/DorD/A

5、多媒体类

LCDTV、图像处理(GPU)编码解码(nVIDIA)、

CMOS-SensorDSP、Audio处理(MP3)解码

AudioAmplifer

6、电源芯片

LED驱动、AC/DC,DC/DC,电池保护、充电、电源管理

按设计类型分为:

全定制(通用芯片,Memory),半定制(ASIC)和可编程(FPGA之类的)3类

IC就是半导体元件产品的统称,包括:

1.集成电路(integratedcircuit,缩写:

IC)

2.二,三极管。

3.特殊电子元件。

再广义些讲还涉及所有的电子元件,象电阻,电容,电路版/PCB版,等许多相关产品。

IC的分类

IC按功能可分为:

数字IC、模拟IC、微波IC及其他IC,其中,数字IC是近年来应用最广、发展最快的IC品种。

数字IC就是传递、加工、处理数字信号的IC,可分为通用数字IC和专用数字IC。

通用IC:

是指那些用户多、使用领域广泛、标准型的电路,如存储器(DRAM)、微处理器(MPU)及微控制器(MCU)等,反映了数字IC的现状和水平。

专用IC(ASIC):

是指为特定的用户、某种专门或特别的用途而设计的电路。

IC从生产目的上可以分成为通用IC(如CPU,DRAM,接口芯片等)和ASIC(ApplicationSpecificIntegretedCircuit)两种,ASIC是因应专门用途而生产的IC。

从结构可以分成数字IC,模拟IC,数模混合IC三种,而SOC(systemonchip)则成为发展的方向。

从实现方式上讲可以分为三种。

基于晶体管级,所有器件和互连版图都采用人工的称为全定制(full-custom)设计,这种方法比较适合于大批量生产的,要求集成度高、速度快、面积小、功耗低的通用型IC或是ASIC。

基于门阵(Gate-Array)和标准单元(Standard-Cell)的半定制设计(Semi-custom)由于其成本低、周期短、芯片利用率低而适合于批量小、要求推出速度快的芯片。

基于IC生产厂家已经封装好的PLD(ProgrammableLogicalDesign)芯片的设计,因为其易用性、“可重写性”受到对集成电路工艺不太了解的系统集成用户的欢迎。

他的最大特点就是只须懂得硬件描述语言就可以使用特殊EDA工具“写入”芯片功能。

但PLD集成度低、速度慢、芯片利用率低的缺点使他只适合新产品的试制和小批量生产。

近年来PLD中发展最活跃的当属FPGA(FieldProgrammableGateArray)器件.

从采用的工艺可以分成双极型(bipolar),MOS和其他的特殊工艺。

硅(Si)基半导体工艺中的双极型器件由于功耗大、集成度相对低,在近年随亚微米深亚微米工艺的的迅速发展,在速度上对MOS管已不具优势,因而很快被集成度高,功耗低、抗干扰能力强的MOS管所替代。

MOS又可分为NMOS、PMOS和CMOS三种;其中CMOS工艺发展已经十分成熟,占据IC市场的绝大部分份额。

AsGa器件因为其在高频领域(可以在0.35um下很轻松作到10GHz)如微波IC中的广泛应用,其特殊的工艺也得到了深入研究。

而应用于视频采集领域的CCD传感器虽然也使用IC一样的平面工艺,但其实现和标准半导体工艺有很大不同。

从设计方法可以分成自顶而下(top-down)和自底而上两种方法。

top-down的设计方法

在IC开发中,根据不同的项目要求,根据项目经费和可供利用的EDA工具和人力资源,根据代工厂的工艺实际,采用不同的实现方法是很重要的决策.(5)技术创新和紧跟潮流是IC公司良性循环的根本保证;(需要讲吗?

3,IC设计中所使用的EDA工具;

俗话说“公欲善其事,必先利其器”。

IC设计中EDA工具的日臻完善已经使工程师完全摆脱了原先手工操作的蒙昧期。

IC设计向来就是EDA工具和人脑的结合。

随着IC不断向高集成度、高速度、低功耗、高性能发展,没有高可靠性的计算机辅助设计手段,完成设计是不可能的。

IC设计的EDA工具真正起步于80年代,1983年诞生了第一台工作站平台apollo;20年的发展,从硬件描述语言(或是图形输入工具)到逻辑仿真工具(LOGICSIMULICATION),从逻辑综合(logicsynthesis)到自动布局布线(autoplane&route)系统;从物理规则检测(DRC&ERC)和参数提取(LVS)到芯片的最终测试;现代EDA工具几乎涵盖了IC设计的方方面面。

提到IC设计的EDA工具就不能不说cadence公司,随着compass的倒闭,它成为这个行业名副其实的“老大”cadence提供了ICdesign中所涉及的几乎所有工具;但它的工具和它的名气一样的值钱!

现代IC技术的迅猛发展在EDA软件厂家中掀起并购、重组热潮。

除CADENCE公司以外,比较有名的公司包括mentor,avanti,synopsys和INVOEDA;mentor和cadence一样是一个在设计的各个层次都有开发工具的公司,而AVANTI因其模拟仿真工具HSPICE出名,SYNOPSYS则因为逻辑综合方面的成就而为市场认可。

下面我们根据设计的不同阶段和层次来谈谈这些工具;

(1)输入工具(designinput):

对自顶而下的(TOP-DOWN)设计方法,往往首先使用VHDL或是VERILOGHDL来完成器件的功能描述,代表性的语言输入工具有SUMMIT公司的VISUALHDL和MENTOR公司的RENIOR等。

虽然很多的厂家(多为FPGA厂商)都提供自己专用的硬件描述语言输入,如ALTRA公司的AHDL,但所有的公司都提供了对作为IEEE标准的VHDL,VERILOGHDL的支持。

对自下而上的设计,一般从晶体管或基本门的图形输入开始,这样的工具代表性的有cadence公司的composer;viewlogic公司的viewdraw等,均可根据不同的厂家库而生成和输入晶体管或门电路相对应的模拟网表。

(2)电路仿真软件(circuitsimulation):

(分为数字和模拟两大类)。

电路仿真工具的关键在于对晶体管物理模型的建立,最切和实际工艺中晶体管物理特性的模型必然得到和实际电路更符合的工作波形,随IC集成度的日益提高,线宽的日趋缩小,晶体管的模型也日趋复杂。

任何的电路仿真都是基于一定的厂家库,在这些库文件中制造厂为设计者提供了相应的工艺参数;如TSMC0.18umCuCMOS工艺的相关参数高达300个之多;

可以用于数字仿真的工具有很多,先期逻辑仿真的目的只是为了验证功能描述是否正确。

对于使用verilogHDL生成的网表,cadence公司的verilog-XL是基于UNIX工作站最负盛名的仿真工具;而近年随PC工作站的出现,viewlogic的VCS和mentor公司的modelsim因其易用性而迅速崛起并成为基于廉价PC工作站的数字仿真工具的后起之秀;对于VHDL网表仿真,cadence公司提供LEAFROG;SYNOPSYS公司有VSS,而mentor公司基于PC的MODELSIM则愈来愈受到新手们的欢迎。

PSPICE最早产生于Berkley大学,经历数十年的发展,随晶体管线宽的不断缩小,PSPICE也引入了更多的参数和更复杂的晶体管模型。

使的他在亚微米和深亚微米工艺的今天依旧是模拟电路仿真的主要工具之一。

AVANTI是IC设计自动化软件的“英雄少年”,它的HSPICE因其在亚微米和深亚微米工艺中的出色表现而在近年得到了广泛的应用。

cadence公司的spectre也是模拟仿真软件,但应用远不及PSPICE和HSPICE广泛;

对于特殊工艺设计而言,由于它们使用的不是Si基bipolar或CMOS工艺,因而也有不同的设计方法和仿真软件;例如基于AsGa工艺的微波器件所使用的工具,较著名的有HP的eesoft等;

(3)综合工具(synthesistools):

用于FPGA和CPLD的综合工具包括有cadence的synplify;synopsys公司的FPGAexpress和FPGAcompiler;mentor公司的leonardospectrum;一般而言不同的FPGA厂商提供了适用于自己的FPGA电路的专用仿真综合工具,比如altera公司的MAXPLUS2仅仅适用它自己的MAX系列芯片;而foundation则为XILINX器件量身定做……

最早的IC综合工具应该是cadence的buildgates;而Cadence最新版本的EnvisiaAmbit(R)则在99年在ASICinternational公司成功用于240万门的设计。

使用较广泛的还有synopsys的designcompiler和behavialcompiler;基于不同的库,逻辑综合工具可以将设计思想转化成对应一定工艺手段的门级电路;将初级仿真中所没有考虑的门沿(gatesdelay)反标到生成的门级网表中,返回电路仿真阶段进行再仿真。

最终仿真结果生成的网表称为物理网表。

(4)layout工具和自动布局布线(autoplane&route)工具cadence的designframework是常用的基于UNIX工作站的全定制设计的布局布线软件,和siliconensemble,Envisiaplace&routeDSM;(cadence的版图输入工具Virtuoso)

(5)物理验证(physicalvalidate)和参数提取(LVS)工具依然可以分成为ASIC和FPGA两大类。

ASIC设计中最有名、功能最强大的是cadence的DRECULA,可以一次完成版图从DRC(设计规则检查),ERC(电气特性检查)到LVS(寄生参数提取)的工序;DIVA作为其相对较弱的软件多提供给教学用途;AVANTI的STAR-RC也是用于物理验证的强力工具,而hercules则是其LVS的排头兵。

如同综合工具一样,FPGA厂商的物理验证和参数提取多采用专门的软件、并和其仿真综合工具集成在一起。

ALTERA的MAXPLUS2和XILINX的FOUNDATION是这样的典型;

(6)由于VLSI尤其是ULSI电路的预投片费用都相当的高(如TSMC0.25umCMOS工艺一次预投片的费用为100万美圆,而0.18umCuCMOS3.3V工艺的一次预投竟高达300万美圆)。

因而对ASIC芯片,要求芯片设计尽量正确。

最好完全消灭错误;解决功耗分析;生成用于芯片测试目的的特殊测试电路;因应这一要求,也产生了一些特殊的EDA工具,以完成诸如poweranalysis、故障覆盖率分析、测试矢量生成等目的。

现代VLSI特别是ULSIIC的迅速发展,正是依靠EDA工具在亚微米和深亚微米技术上的进步及其对应工艺水平的提高。

应该说没有EDA工具就没有IC;

1.代码输入(designinput)

用vhdl或者是verilog语言来完成器件的功能描述,生成hdl代码

语言输入工具:

SUMMIT  VISUALHDL

           MENTOR  RENIOR

图形输入:

   composer(cadence);

           viewlogic(viewdraw)

2.电路仿真(circuitsimulation)

将vhd代码进行先前逻辑仿真,验证功能描述是否正确

数字电路仿真工具:

   Verolog:

 CADENCE    Verolig-XL

              SYNOPSYS   VCS

              MENTOR     Modle-sim

    VHDL:

   CADENCE    NC-vhdl

              SYNOPSYS   VSS

              MENTOR     Modle-sim

模拟电路仿真工具:

              AVANTIHSpicepspice,spectremicro

microwave:

   eesoft:

hp

3.逻辑综合(synthesistools)

逻辑综合工具可以将设计思想vhd代码转化成对应一定工艺手段的门级电路;将初级仿

真中所没有考虑的门沿(gatesdelay)反标到生成的门级网表中,返回电路仿真阶段

进行再仿真。

最终仿真结果生成的网表称为物理网表。

综合工具:

CADENCE  Builtgates   EnvisiaAmbit

         SYNOPSYS DesignCompile BehavialCompiler

4.layout生成和自动布局布线(autoplane&route)

将网表生成具体的电路版图

layout工具:

CADENCE Dracula,Diva           

5.物理验证(physicalvalidate)和参数提取(LVS)

ASIC设计中最有名、功能最强大的是cadence的DRECULA,可以一次完成版图从DRC(

设计规则检查),ERC(电气特性检查)到LVS(寄生参数提取)的工序

工具:

CADENCE:

  DRECULA

     AVANTI:

  STAR-RC

6.statictimming:

Synopsys  PrimeTime 

 Poweranalysis  WattSmith

 测试矢量生成  specmanElite4

  故障覆盖率分析,

总结:

ic设计的流程大致为:

逻辑设计--子功能分解--详细时序框图--分块逻辑仿真--电路设计(RTL级描述)--功能

仿真--综合(加时序约束和设计库)--电路网表--网表仿真)

预布局布线(SDF文件)--网表仿真(带延时文件)--静态时序分析--布局布线--参数提取

--SDF文件--后仿真--静态时序分析--测试向量生成

--工艺设计与生产--芯片测试--芯片应用

在验证过程中出现的时序收敛,功耗,面积问题,应返回前端的代码输入进行重新修

改,再仿真,再综合,再验证,一般都要反复好几次才能最后送去foundry厂流片。

Responsesto“IC设计流程(转自USTC)”

1.galoisSays:

April25th,2006at6:

35am

VLSIASIC设计流程:

1、系统规范化说明(SystemSpecification)

包括系统功能、性能、物理尺寸、设计模式、制造工艺、设计周期、设计费用等等。

2、功能设计(FunctionDesign)

将系统功能的实现方案设计出来。

通常是给出系统的时序图及各子模块之间的数据流图。

3、逻辑设计(LogicDesign)

这一步是将系统功能结构化。

通常以文本(VerilogHDL或VHDL)、原理图、逻辑图表示设计结果,有时也采用布尔表达式来表示设计结果。

4、电路设计(CircuitDesign)

电路设计是将逻辑设计表达式转换成电路实现。

5、物理设计(PhysicalDesignorLayoutDesign)

物理设计或称版图设计是VLSI设计中最费时的一步。

它要将电路设计中的每一个元器件包括晶体管、电阻、电容、电感等以及它们之间的连线转换成集成电路制造所需要的版图信息。

6、设计验证(DesignVerification)

在版图设计完成以后,非常重要的一步工作是版图验证。

主要包括:

设计规则检查(DRC)、版图的电路提取(NE)、电学规检查(ERC)和寄生参数提取(PE)。

IC层次式设计方法(自顶向下的设计方法例子)

系统级、功能级、寄存器传输级、门级、电路级、版图级(物理级)。

2.galoisSays:

April25th,2006at6:

36am

(copiedfromUSTCBBSbywjcentury)

★数字电路设计工具★

分类产品名制造商

逻辑综合器、静态时序分析BlastRTL美国MAGMA公司

VHDL/Verilog-HDLSimulator(仿真工具)Active-HDL美国Aldec公司

混合语言仿真NC-sim美国CadenceDesignSystems公司

Verilog仿真器Verilog-XL同上

SystemC仿真器NC-SystemC同上

VHDL仿真器NC-VHDL同上

物理综合工具PKS同上

超级综合工具(带有最优化配置功能)BuildGatesExtreme同上

Verilog仿真/VHDL编译器VCS/Scirocco美国Synopsys公司

RTL级逻辑综合工具DCexpert美国Synopsys公司

Vhdl/Verilog混合语法和设计规范检查器LEDA美国Synopsys公司

FPGA综合器SynplifyPRO美国Synplicity公司

物理综合Amplify美国Synplicity公司

测试与原型验证CertifySC美国Synplicity公司

VHDL/Verilog-HDL仿真工具ModelSim美国MentorGraphics公司

Verilog-HDL仿真工具TauSim美国TauSimulation公司

HardwareAcceleratorARES美国IKOSSystems公司

StaticTimming解析工具EinsTimer美国IBM公司

逻辑Simulator(仿真)Explore美国Aptix公司

Xcite美国AxisSystems公司

VirtuaLogic美国IKOSSystems公司

VIVACE美国MentorGraphics公司

功耗解析/最优化工具(RTL)WattSmith美国Sente公司

逻辑验证工具(测试向量生成)SpecmanElite美国VerisityDesign公司

CODE・COVERAGE工具,状態COVERAGE工具Verification美国Trans

EDA公司

Navigator/State美国TransEDA公司

Navigator美国TransEDA公司

Formal・Verifier(等价性评价)BoolesEye美国IBM公司

Tuxedo美国VerplexSystems公司

HDL调试工具Debussy美国NovasSoftware公司

电路合成工具,行为级合成工具(VHDL编程)BooleDozer美国IBM公司

HighLevel电路合成工具eXplorationsTools美国Explorations公司

RTL设计TeraForm美国TeraSystems公司

——————————————————————————————————————

★模拟/数.模混合信号电路设计工具★

分类产品名制造商

模拟电路Simulator(仿真工具)T-SpicePro美国TannerResearch公司

SmartSpice美国SilvacoInternational公司

Eldo美国MentorGraphics公司

电路图仿真/物理设计环境COSMOSSE/LE美国Synopsys公司

数字/模拟混合信号仿真HSPICE/NanoSim美国Synopsys公司

混合信号・Simulator(仿真工具)ICAP/4美国intusoft公司

混合信号・Simulator(仿真工具)美国MentorGraphi

cs公司

RF电路Simulator(仿真工具)ADVance,CommLib美国MentorGraphics公司

AnalogMacroLibrary美国MentorGraphics公司

StaticNoise解析工具(混合信号)SeismIC美国CadMOSDesignTechnology公司

ModelGenerator(模拟)NeoCell美国Neolinear公司

模拟电路设计工具MyAnalogStation美国MyCAD公司

电路仿真工具Star-Hspice美国Avanti公司

Star-Sim美国Avanti公司

Star-Time美国Avanti公司

电路图编辑器Scholar美国SilvacoInternational公司

S-edit美国TANNER公司

模拟、射频及混合信号仿真CadenceAnalogDesignEnvironment美国Cadence公司

层次化原理图输入工具VirtuosoComposer美国Cadence公司

原理图输入OrcadCaptureCIS,美国Cadence公司

ConceptHDLCaptureCIS,美国Cadence公司

原理图仿真PspiceNCDesktop美国Cadence公司

———————————————————————————————————————

★Hard/Soft协调设计工具★

分类产品名制造商

Hard/Soft协调设计工具CiertoVCCEnvironment美国Cadence公司

ArchGen美国CAEPlus公司

eArchitect美国ViewlogicSystems公司

Hard/Soft协调验证工具SeamlessCVE美国MentorGraphics公司

———————————————————————————————————————

★LSILayout设计工具★

分类产品名制造商

寄生电容/阻抗提取工具DISCOVERY美国SilvacoInternational公司

IC版图设计MyChipStationTMV6.4美国MyCAD公司

寄生电容/寄生阻抗提取工具,

延迟计算工具SWIM/InterCal美国AspecTechnology公司

寄生电容/阻抗提取工具,

回路Simulator(仿真工具),

Layout变换工具Spicelink,Ansoftlinks美国Ansoft公司

物理版图编辑器Virtuoso-XLLayoutEditor美国Cadence公司交互式物

理版图验证工具Diva美国Cadence公司

信号完整性时序分析工具SignalStorm美国Cadence公司

ModelGeneratorCLASSIC-SC美国CadabraDesignAutomation公司

L

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 外语学习 > 日语学习

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1