基于DDS的数字移相信号发生器.docx

上传人:b****8 文档编号:10520151 上传时间:2023-02-17 格式:DOCX 页数:18 大小:489.55KB
下载 相关 举报
基于DDS的数字移相信号发生器.docx_第1页
第1页 / 共18页
基于DDS的数字移相信号发生器.docx_第2页
第2页 / 共18页
基于DDS的数字移相信号发生器.docx_第3页
第3页 / 共18页
基于DDS的数字移相信号发生器.docx_第4页
第4页 / 共18页
基于DDS的数字移相信号发生器.docx_第5页
第5页 / 共18页
点击查看更多>>
下载资源
资源描述

基于DDS的数字移相信号发生器.docx

《基于DDS的数字移相信号发生器.docx》由会员分享,可在线阅读,更多相关《基于DDS的数字移相信号发生器.docx(18页珍藏版)》请在冰豆网上搜索。

基于DDS的数字移相信号发生器.docx

基于DDS的数字移相信号发生器

 

电子与电气工程系

 

课程设计、专题(综合)实验报告

 

课题名称_基于DDS的数字移相信号发生器

专业_电子信息工程______

班级______________1________

学号__

姓名_______

成绩__________________

指导教师__________

 

2010年12月20-24日

 

基于DDS的数字移相信号发生器

一、课程设计目的

1、进一步熟悉QuartusⅡ的软件使用方法;

2、熟悉利用VHDL设计数字系统并学习LPMROM的使用方法;

3、学习FPGA硬件资源的使用和控制方法;

4、掌握DDS基本原理,学习利用此原理进行信号发生器的设计

二、设计任务

1、完成8位输出数据宽度的频率可调的移相正弦信号发生器。

2、完成8位输出数据宽度的移相三角波、方波信号发生器。

3、波形发生器实现幅度可调。

(注:

任务1为基本要求,任务2、3为提高要求)

三、数字DDS的逻辑电路图(顶层文件原理图)

逻辑电路图

四、工作原理及模块分析:

直接数字频率合成器(DDS)是通信系统中常用到的部件,利用DDS可以制成很有用的信号源。

与模拟式的频率锁相环PLL相比,它有许多优点,突出为

(1)频率的切换迅速;

(2)频率稳定度高。

一个直接数字频率合成器由相位累加器、波形ROM、D/A转换器和低通滤波器构成。

DDS的原理框图如下所示:

 

图1直接数字频率合成器原理图

其中K为频率控制字,fc为时钟频率,N为相位累加器的字长,D为ROM数据位及D/A转换器的字长。

相位累加器在时钟fc的控制下以步长K作为累加,输出N位二进制码作为波形ROM的地址,对波形ROM进行寻址,波形ROM输出的幅码S(n)经D/A转换器变成梯形波S(t),再经低通滤波器平滑后就可以得到合成的信号波形了。

合成的信号波形形状取决于波形ROM中存放的幅码,因此用DDS可以产生任意波形。

本设计中直接利用D/A转换器得到输出波形,省略了低通滤波器这一环节。

1、频率预置与调节电路

不变量K被称为相位增量,也叫频率控制字。

DDS方程为:

f0=fcK/2n,f0为输出频率,fc为时钟频率。

当K=1时,DDS输出最低频率(也既频率分辩率)为fc/2n

DDS的最大输出频率由Nyguist采样定理决定,即fc/2,也就是说K的最大值为2n-1.因此,只要N足够大,DDS可以得到很细的频率间隔。

要改变DDS的输出频率,只要改变频率控制字K即可。

2、累加器

相位累加器的原理图如下图

 

图2相位累加器原理图

相位累加器由N为加法器与N位寄存器级联构成。

每来一个时钟脉冲fc,加法器将频率控制字与寄存器输出的累加相位数据相加,再把相加后的结果送至寄存器的数据输入端,寄存器将加法器在上一个时钟作用后所产生的下数据反馈到加法器的输入端;以使加法器在下一个时钟作用下继续频率控制字进行相加。

这样,相位累加器在时钟的作用下,进行相位累加,当相位累加器累加满量时,就产生一次溢出,完成一个周期性的动作,这个周期应为uk=2n/GCD(2N;k),其中GCD表示最大公约数。

3、波形存储器

用相位累加器输出的数据作为波形存储器的取样地址进行波形的相位——幅值转换,即可在给定的时间上确定输出的波形的抽样幅值。

N位的寻址ROM相当于把00---3600的正弦信号离散成具有2n样值的序列,若波形ROM有D位数据位,则2n个样值的幅值以D位二进制数值固化在ROM中,按照地址的不同可以输出相宜相位的正弦信号的幅值。

相位----幅值变换原理图如下所示。

 

图3相位-幅度变换原理图

4、D/A转换器

D/A转换器的作用是把已经合成的正弦波的数字量转换成模拟量,正弦幅度量化序列S(n)经D/A转换后变成了包络为正弦波的阶梯波S(t),S(t)的周期为T=uk*Tc.。

需要注意的是,频率合成器对D/A转换器的分辨率有一定的要求,D/A转换器的分辨率越高,合成的正弦波S(t)台阶数就越多,输出波形的精度也就越高。

五、结果波形:

三角波

方波

 

 

六、相关程序:

注:

1、编写的顶层程序总是出现问题,最终选择运用原理图进行顶层的构建(原理图见“三、数字DDS的逻辑电路图(顶层文件原理图)”);

2、其他部分的程序分为加法器、寄存器、正弦信号发生器、方波信号发生器、三角波信号发生器、波形选择模块、调幅模块;

3、本例中,具体模块分析详见“四、工作原理及模块分析”。

加法器:

LIBRARYIEEE;

USEIEEE.STD_LOGIC_1164.ALL;

USEIEEE.STD_LOGIC_UNSIGNED.ALL;

ENTITYSUMIS

PORT(K:

INSTD_LOGIC_VECTOR(2DOWNTO0);

CLK:

INSTD_LOGIC;

RST:

INSTD_LOGIC;

WR:

OUTSTD_LOGIC;

CS:

OUTSTD_LOGIC;

OPT:

OUTSTD_LOGIC_VECTOR(7DOWNTO0));

ENDENTITYSUM;

ARCHITECTUREBEHAVOFSUMIS

SIGNALTEM:

STD_LOGIC_VECTOR(10DOWNTO0);

BEGIN

PROCESS(CLK,RST)

BEGIN

IFRST='1'THEN

TEM<="00000000000";

ELSIFCLK'EVENTANDCLK='1'THEN

TEM<=TEM+K;

ENDIF;

ENDPROCESS;

OPT<=TEM(10DOWNTO3);WR<='0';CS<='0';

ENDARCHITECTUREBEHAV;

寄存器:

LIBRARYIEEE;

USEIEEE.STD_LOGIC_1164.ALL;

ENTITYREGIS

PORT(D:

INSTD_LOGIC_VECTOR(7DOWNTO0);

CLK:

INSTD_LOGIC;

Q:

OUTSTD_LOGIC_VECTOR(7DOWNTO0));

ENDENTITYREG;

ARCHITECTUREBEHAVOFREGIS

BEGIN

PROCESS(CLK)

BEGIN

IFCLK'EVENTANDCLK='1'THEN

Q<=D;

ENDIF;

ENDPROCESS;

ENDARCHITECTUREBEHAV;

正弦波发生器:

LIBRARYieee;

USEieee.std_logic_1164.all;

LIBRARYaltera_mf;

USEaltera_mf.all;

ENTITYsin_romIS

PORT

address:

INSTD_LOGIC_VECTOR(7DOWNTO0);

clock:

INSTD_LOGIC;

q:

OUTSTD_LOGIC_VECTOR(7DOWNTO0)

);

ENDsin_rom;

ARCHITECTURESYNOFsin_romIS

SIGNALsub_wire0:

STD_LOGIC_VECTOR(7DOWNTO0);

COMPONENTaltsyncram

GENERIC(

address_aclr_a:

STRING;

init_file:

STRING;

intended_device_family:

STRING;

lpm_hint:

STRING;

lpm_type:

STRING;

numwords_a:

NATURAL;

operation_mode:

STRING;

outdata_aclr_a:

STRING;

outdata_reg_a:

STRING;

widthad_a:

NATURAL;

width_a:

NATURAL;

width_byteena_a:

NATURAL

);

PORT(

clock0:

INSTD_LOGIC;

address_a:

INSTD_LOGIC_VECTOR(7DOWNTO0);

q_a:

OUTSTD_LOGIC_VECTOR(7DOWNTO0)

);

ENDCOMPONENT;

BEGIN

q<=sub_wire0(7DOWNTO0);

altsyncram_component:

altsyncram

GENERICMAP(

address_aclr_a=>"NONE",

init_file=>"sin_rom.mif",

intended_device_family=>"Cyclone",

lpm_hint=>"ENABLE_RUNTIME_MOD=YES,INSTANCE_NAME=sin",

lpm_type=>"altsyncram",

numwords_a=>256,

operation_mode=>"ROM",

outdata_aclr_a=>"NONE",

outdata_reg_a=>"CLOCK0",

widthad_a=>8,

width_a=>8,

width_byteena_a=>1

PORTMAP(

clock0=>clock,

address_a=>address,

q_a=>sub_wire0

);

ENDSYN;

方波信号发生器:

LIBRARYieee;

USEieee.std_logic_1164.all;

LIBRARYaltera_mf;

USEaltera_mf.all;

ENTITYsqr_romIS

PORT

address:

INSTD_LOGIC_VECTOR(7DOWNTO0);

clock:

INSTD_LOGIC;

q:

OUTSTD_LOGIC_VECTOR(7DOWNTO0)

);

ENDsqr_rom;

ARCHITECTURESYNOFsqr_romIS

SIGNALsub_wire0:

STD_LOGIC_VECTOR(7DOWNTO0);

COMPONENTaltsyncram

GENERIC(

address_aclr_a:

STRING;

init_file:

STRING;

intended_device_family:

STRING;

lpm_hint:

STRING;

lpm_type:

STRING;

numwords_a:

NATURAL;

operation_mode:

STRING;

outdata_aclr_a:

STRING;

outdata_reg_a:

STRING;

widthad_a:

NATURAL;

width_a:

NATURAL;

width_byteena_a:

NATURAL

);

PORT(

clock0:

INSTD_LOGIC;

address_a:

INSTD_LOGIC_VECTOR(7DOWNTO0);

q_a:

OUTSTD_LOGIC_VECTOR(7DOWNTO0)

);

ENDCOMPONENT;

BEGIN

q<=sub_wire0(7DOWNTO0);

altsyncram_component:

altsyncram

GENERICMAP(

address_aclr_a=>"NONE",

init_file=>"sqr_rom.mif",

intended_device_family=>"Cyclone",

lpm_hint=>"ENABLE_RUNTIME_MOD=NO",

lpm_type=>"altsyncram",

numwords_a=>256,

operation_mode=>"ROM",

outdata_aclr_a=>"NONE",

outdata_reg_a=>"CLOCK0",

widthad_a=>8,

width_a=>8,

width_byteena_a=>1

PORTMAP(

clock0=>clock,

address_a=>address,

q_a=>sub_wire0

);

ENDSYN;

三角波信号发生器:

LIBRARYieee;

USEieee.std_logic_1164.all;

LIBRARYaltera_mf;

USEaltera_mf.all;

ENTITYtri_romIS

PORT

address:

INSTD_LOGIC_VECTOR(7DOWNTO0);

clock:

INSTD_LOGIC;

q:

OUTSTD_LOGIC_VECTOR(7DOWNTO0)

);

ENDtri_rom;

ARCHITECTURESYNOFtri_romIS

SIGNALsub_wire0:

STD_LOGIC_VECTOR(7DOWNTO0);

COMPONENTaltsyncram

GENERIC(

address_aclr_a:

STRING;

init_file:

STRING;

intended_device_family:

STRING;

lpm_hint:

STRING;

lpm_type:

STRING;

numwords_a:

NATURAL;

operation_mode:

STRING;

outdata_aclr_a:

STRING;

outdata_reg_a:

STRING;

widthad_a:

NATURAL;

width_a:

NATURAL;

width_byteena_a:

NATURAL

);

PORT(

clock0:

INSTD_LOGIC;

address_a:

INSTD_LOGIC_VECTOR(7DOWNTO0);

q_a:

OUTSTD_LOGIC_VECTOR(7DOWNTO0)

);

ENDCOMPONENT;

BEGIN

q<=sub_wire0(7DOWNTO0);

altsyncram_component:

altsyncram

GENERICMAP(

address_aclr_a=>"NONE",

init_file=>"tri_rom.mif",

intended_device_family=>"Cyclone",

lpm_hint=>"ENABLE_RUNTIME_MOD=NO",

lpm_type=>"altsyncram",

numwords_a=>256,

operation_mode=>"ROM",

outdata_aclr_a=>"NONE",

outdata_reg_a=>"CLOCK0",

widthad_a=>8,

width_a=>8,

width_byteena_a=>1

PORTMAP(

clock0=>clock,

address_a=>address,

q_a=>sub_wire0

);

ENDSYN;

波形选择器:

LIBRARYIEEE;

USEIEEE.STD_LOGIC_1164.ALL;

ENTITYCHOOSEIS

PORT(

SCW:

INSTD_LOGIC_VECTOR(1DOWNTO0);

D1:

INSTD_LOGIC_VECTOR(7DOWNTO0);

D2:

INSTD_LOGIC_VECTOR(7DOWNTO0);

D3:

INSTD_LOGIC_VECTOR(7DOWNTO0);

Q:

OUTSTD_LOGIC_VECTOR(7DOWNTO0));

ENDCHOOSE;

ARCHITECTUREBEHAVOFCHOOSEIS

BEGIN

PROCESS(SCW)

BEGIN

CASESCWIS

WHEN"00"=>Q<=D1;

WHEN"01"=>Q<=D2;

WHEN"10"=>Q<=D3;

WHENOTHERS=>NULL;

ENDCASE;

ENDPROCESS;

ENDBEHAV;

调幅模块:

LIBRARYIEEE;

USEIEEE.STD_LOGIC_1164.ALL;

USEIEEE.STD_LOGIC_UNSIGNED.ALL;

ENTITYAMIS

PORT(SCA:

INSTD_LOGIC_VECTOR(1DOWNTO0);

K:

INSTD_LOGIC_VECTOR(7DOWNTO0);

Q:

OUTSTD_LOGIC_VECTOR(7DOWNTO0));

ENDAM;

ARCHITECTUREBEHAVOFAMIS

BEGIN

PROCESS(SCA)

BEGIN

CASESCAIS

WHEN"00"=>Q<=K;

WHEN"01"=>Q<='0'&K(7DOWNTO1);

WHEN"10"=>Q<="00"&K(7DOWNTO2);

WHEN"11"=>Q<="000"&K(7DOWNTO3);

ENDCASE;

ENDPROCESS;

ENDBEHAV;

 

七、实验心得:

(设计中遇到的问题,问题出现的原因及解决问题的方法)

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 高等教育 > 管理学

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1