VHDL课程设计指导书胡仕刚.docx

上传人:b****8 文档编号:10282057 上传时间:2023-02-09 格式:DOCX 页数:12 大小:22.94KB
下载 相关 举报
VHDL课程设计指导书胡仕刚.docx_第1页
第1页 / 共12页
VHDL课程设计指导书胡仕刚.docx_第2页
第2页 / 共12页
VHDL课程设计指导书胡仕刚.docx_第3页
第3页 / 共12页
VHDL课程设计指导书胡仕刚.docx_第4页
第4页 / 共12页
VHDL课程设计指导书胡仕刚.docx_第5页
第5页 / 共12页
点击查看更多>>
下载资源
资源描述

VHDL课程设计指导书胡仕刚.docx

《VHDL课程设计指导书胡仕刚.docx》由会员分享,可在线阅读,更多相关《VHDL课程设计指导书胡仕刚.docx(12页珍藏版)》请在冰豆网上搜索。

VHDL课程设计指导书胡仕刚.docx

VHDL课程设计指导书胡仕刚

 

信息与电气工程学院

课程设计指导书

 

课程设计名称:

VHDL语言

适用专业:

电子通信

制订时间:

2011年

 

电子信息工程系

 

执笔人:

胡仕刚罗朝辉

审核人:

张剑

批准人:

《数字系统仿真与VHDL设计》课程设计指导书

一、课程设计的总体目标

本设计的任务是熟悉支持VHDL语言的软件,例如:

MAX—PLUS2,ISP,FOUNDATION等,利用这一类软件使用VHDL语言进行设计。

由于VHDL语言是一门硬件语言,为电子,通信等专业的必备知识,与数字电路等课程联系精密,且在当今蓬勃发展的EDA技术中具有重要意义,是一个使学生将所学知识与实践应用联系起来的一条纽带。

对学生将专业基础课知识与计算机应用技术结合,以及今后毕业设计和毕业后从事相关研发工作都有很大帮助。

为了使设计达到应有的效果,使学生充分掌握相应的软件操作使用,VHDL语言编程,数字逻辑电路设计的方法和思路,鼓励学生独立思考,自主研究。

本课程设计要求达到如下目的:

1.使学生熟练掌握相关软件的使用,操作。

能对VHDL语言程序进行编译,调试,以及通过计算机仿真,得到正确的仿真波形图,并根据所得仿真波形图分析判断并改进所设计的电路。

2.在成功掌握软件操作基础上,让学生将所数字电路的基础课知识与VHDL语言的应用型知识结合起来并与实际设计,操作联系起来,即“理论联系实际”。

要求学生自主设计电路,编写程序,鼓励新思路,新方法,新观点。

3.深入了解VHDL语言的作用与价值,对用硬件语言设计一个电路系统开始具备一个较完整的思路与较专业的经验。

对EDA技术有初步的认识,并开始对EDA技术的开发创新有初步的理解。

踏实的完成此次课程设计的教学将为学生进一步深入了解电子产业的发展与内容,积极投身于工程技术的开发与研究特别是EDA技术的发展奠定一定的基础。

二、课程设计时间分配

本设计所占的学时为一周

(1)调研、查资料1天。

(2)总体方案设计2天。

(3)电路设计2天。

(4)相应电路的验证0.5天

(5)撰写设计说明书1天。

(6)验收0.5天。

三、课程设计的总体要求

1.熟悉数字电路及相关专业课程的基本知识并能联系具体程序

2.正确操作使用VHDL语言相关软件,能编译,调试,仿真VHDL语言程序

3.设计数字电路,编写程序,实现电路功能。

四、课程设计的内容

1.设计60进计数器。

设计一个BCD码60进计数器。

要求实现同步,异步两种情况,且规定个位显示0~9,十位显示0~5,均用4位二进制数表示。

在此基础上试用VHDL语言描述中小规模集成电路74LS169。

2.循环彩灯控制器

设计一个循环彩灯控制器,该控制器控制红,绿,黄三个发光管循环点亮。

要求红发光管亮3秒,绿发光管亮2秒,黄发光管亮1秒。

3.数字显示的秒表

设计一块用数码管显示的秒表,能够准确的计时并显示。

最大计时为59秒,最小精确到0.1秒。

4.交通灯控制器的程序设计

交通灯控制器:

用于十字路口的交通灯控制器。

要求:

东西方向各有一组红,黄,绿灯用于指挥交通,红,黄,绿的持续时间分别为25s,5s,20s。

当有紧急情况(如消防车)时,两个方向均为红灯亮,计时停止,当特殊情况结束后,控制器恢复原来状态,正常工作。

5.设计一个2人抢答器

两人抢答,先抢为有效,用发光二极管显示是否抢到优先答题权。

答题结束后,按复位键可重新抢答下一题。

6.数字式竞赛抢答器

设计一个可容纳四组参赛者同时抢答的数字抢答器,可判断第一抢答者并报警指示抢答成功,其他组抢答均无效。

若提前抢答则对相应的抢答组发出警报。

同时还具有计分功能,若抢答成功并回答正确增加1分,答错不扣分。

7.智能函数发生器

设计一个智能函数发生器,能够以稳定的频率产生三角波、梯形波、正弦波和方波,并可选择不同的波形输出,且具有复位功能。

9.多功能电子表

设计一个含有数字钟、万年历的多功能电子表。

数字钟以“秒”作为基准信号,可显示时、分、秒。

同时可实现整点和半点报时。

万年历以“日”作为基准时间信号,并可显示年、月、日,其中计“年”范围从0000至9999。

可手动校准年月、日、时、分的功能。

9.频率计设计

设计一个简易的6位十进制频率计,可测量的信号频率范围从1Hz到999999Hz,并显示被测频率值。

10.五人多数表决器

五人多数表决逻辑:

多数通过;在主持人控制下,10秒内表决有效;用数码管显示表决10秒倒计时;表决结束后用发光二极管及数码管显示表决结果,数码管显示结果形式:

通过,不通过;设主持人控制键,复位键:

控制键:

启动表决;

复位键:

系统复位。

11.设计一个简单的乒乓球游戏机

它可由两个人进行游戏,游戏规则如下:

(1)过早击球,对方获胜。

(2)每次击球,若球飞出界外,则对方获胜。

设计用6个发光二极管指示乒乓球的运动轨迹,2个发光二极管指示获胜方,2个按键开关代表双方的球拍,1个拨动开关用于复位和准备发球。

12.设计数字钟

数字钟是计时仪器,它的功能大家都很熟悉。

本设计对设计的电子钟要求如下:

(1)能够对s(秒)、min(分)和h(小时)进行计时,每日按24h计时制;

(2)min和h位能够调整;

(3)设计要求使用自顶向下的设计方法。

学生必须在1-5题目中选择一题,6-12题中选择一题。

实现题目要求,完成编译,调试,仿真等内容。

五、课程设计的成绩评定

(1)课程设计成绩主要根据以下几方面来评定:

设计方案的正确性、先进性与创新性。

关键电路设计与仿真的正确性。

分析问题和解决问题的能力。

课题的完成情况。

课程设计报告的撰写水平。

课程设计过程中的学习态度与工作精神。

(2)按优、良、中、及格、不及格五级分制(或百分制)记分。

出现以下情况之一的学生,成绩为不及格:

缺席时间超过6天(含6天);未上交设计报告。

成绩由指导教师根据学生的设计说明书及其设计期间的表现来评定,并附有指导教师评语。

六、课程设计基本选题 

1

60进计数器设计

2

循环彩灯控制器设计

3

数字显示的秒表设计

4

交通灯控制器设计

5

两人抢答器设计

6

数字式竞赛抢答器设计

7

智能函数发生器设计

8

多功能电子表设计

9

数字频率计设计

10

五人多数表决器设计

11

乒乓球游戏机

12

数字钟设计

学生必须在1-5题目中选择一题,6-12题中选择一题。

实现题目要求,完成编译,调试,仿真等内容。

七、课程设计报告的撰写规范

课程设计报告课程设计主要成果之一,一般应不少于3000字。

1)、基本格式

说明书可以手写或打印,书写要用黑或蓝黑墨水,书写工整;打印时正文采用5号宋体,A4纸,页边距均为20mm,行间距采用18磅。

文中标题采用宋体加粗。

2)、结构及要求

⑴封面(格式附后)

包括:

题目、系别、班级、完成日期、成绩及指导教师等项。

⑵课程设计评阅书

⑶任务书(格式附后)

课程设计任务书要求手写。

⑷摘要

摘要要求对内容进行简短的陈述,一般不超过300字。

关键词应为反映主题内容的学术词汇,一般为3-5个,且应在摘要中出现。

⑸目录

要求层次清晰,给出标题及页次。

最后一项为"参考资料"。

打印时各章题序及标题用小4号黑体,其余用小4号宋体。

(6)正文

正文应按照目录所确定的顺序依次撰写,要求论述清楚、简练、通顺,插图清晰整洁。

文中图、表及公式应规范地绘制和书写。

(7)参考文献

参照《湖南科技大学毕业设计规范》。

课程设计报告正文内容安排可以参考如下:

1、设计的目的

2、设计内容

3、电路工作原理

3、主要程序及仿真结果

4、对本次设计的体会和建议。

5、参考文献

参考文献(举例如下)

[1]李国洪.可编程器件EDA技术与实践[M].北京:

机械工业出版社,2004:

100-123.

[2]张玉杰,马立云,张贺艳.基于ARM和FPGA的LED显示屏控制系统的设计[J].计算机测量与控制,2009,17(12):

1-2.

[3]翟殿堂,方敏,历光伟.基于EDA的多功能计时器设计[J].信息技术,2008,17(11):

39-45.

[4]张立,张光新,柴磊,周泽魁.FPGA在多功能计费器系统中的应用[J].仪器仪表报,2005,26(28):

25-27.

[5]潭会生,张昌凡.EDA技术及应用[J].西安:

电子科技大学出版社.2001,16

(2):

46-48.

[6]诗文DigiTimes专稿;片上系统潮流下EDA市场发展趋势[N].电子资讯时报,2005-06-06(A02).

[7]奚素霞.基于EDA技术的数字电路设计[J].重庆科技学院学报(自然科学),2009,11(4):

124-151.

[8]候伯亨,顾新.VHDL硬件描述语言与数字逻辑电路设计[M].西安:

西安电子科技大学出版社,1999:

5-7.

[9]赵辉.基于EDA技术的数字系统设计[J].电子设计工程,2012,20

(2):

34-37.

[10]臧明相,李园园,郭瑞刚.智能复用EDA设计信息及调试文档自动生成技术[J].计算机工程与设计,2011,32(3):

1119-1122.

[11]朱晓红.基于EDA技术的数字钟设计与实现[J].电子设计工程,2011,19(4):

170-172.

[12]张晶,裴东兴,张少杰.基于CPLD的低功耗爆炸场温度测试系统[J].电子设计工程,2012,20

(2):

91-93.

[13]周永亮,王军民,薛良玉,胡文宝.基于CPLD的时间信号精确同步研究[J].石油天然气学报,2011,33(4):

105-108.

[14]乔桥,张弛,邹安阳.基于CPLD的扭簧分选仪交流伺服系统的设计[J].武汉纺织大学学报,2011,24(3):

62-65.

[15]王永祥.基于CPLD的两相步进电机细分驱动器设计[J].宜春学院学报,2011,33(4):

52-53.

[16]李晓敏,田熙燕.基于VHDL语言的数字时钟设计[J].电子设计工程,2011,19(13):

30-33.

[17]吴琼,张明,田明才.基于有限状态机的Morse码识别算法的VHDL实现[J].沈阳大学学报,2011,23(3):

1-3.

[18]胡圣领.基于FPGA的无线信道模拟器设计[J].现代电子技术,2012,35(5):

108-110.

[19]孙延腾,吴艳霞,顾国昌.基于VHDL语言的参数化设计方法[J].计算机工程与应用,2010,46(31):

68-71.

[20]刘凯,王红航.电子抢答器的EDA设计与实现[J].电子元器件应用,2010,12(8):

54-57.

[21]侯宝生.基于VHDL的数字倍频器设计[J].科学技术与工程,2009,9(3):

705-708.

[22]吴秀敏,王晓兰,高杨,安德胜.EDA技术在计算机硬件设计中的应用与研究[J].计算机与数字工程,2010,38(10):

179-181.

[23]李斌,余小游.OFDM基带系统的FPGA设计及其同步技术研究[J].计算机工程与应用,2012,48(7):

92-94.

[24]胡敦利,肖力,尤文艳.基于FPGA的测试控制板卡的设计与实现[J].电子技术应用,2012,38

(1):

70-72.

 

8、附件材料

(1)课程设计报告封面

(2)课程设计任务书

(3)课程设计成绩汇总表

(4)课程设计情况统计表

要求各班班长将本班同学选题信息进行统计,并将附件中课程设计统计表和课程设计汇总表能填的信息全部填好,并将其将电子档发给课程设计指导教师和任课教师。

最后要求各班班长将班上所有同学所做的一些设计资料集中,并刻录成一张光盘,最后将刻录的光盘及所有同学课程设计报告交给指导教师。

湖南科技大学

信息与电气工程学院

 

《课程设计报告》

 

题目:

专业:

班级:

姓名:

学号:

指导教师:

——————————————————————————————————

年月日

信息与电气工程学院

课程设计任务书

20—20学年第学期

专业:

学号:

姓名:

课程设计名称:

设计题目:

完成期限:

自年月日至年月日共周

设计依据、要求及主要内容(可另加附页):

 

指导教师(签字):

批准日期:

年月日

 

信息与电气工程学院课程设计成绩汇总表

20—20学年第学期

课程设计名称:

班级:

学号

姓名

指导教师成绩

设计报告成绩

总成绩

备注*

注:

“备注”栏中填写具体的设计题目。

指导教师(签字):

系主任(签字):

日期:

年月日日期:

年月日

信息与电气工程学院20-20第学期课程设计情况统计表

课程设计名称教学系系主任签字

序号

题目

学生姓名

专业班级

指导教师

周次

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 求职职场 > 简历

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1