ImageVerifierCode 换一换
格式:DOCX , 页数:13 ,大小:78.58KB ,
资源ID:9307436      下载积分:3 金币
快捷下载
登录下载
邮箱/手机:
温馨提示:
快捷下载时,用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)。 如填写123,账号就是123,密码也是123。
特别说明:
请自助下载,系统不会自动发送文件的哦; 如果您已付费,想二次下载,请登录后访问:我的下载记录
支付方式: 支付宝    微信支付   
验证码:   换一换

加入VIP,免费下载
 

温馨提示:由于个人手机设置不同,如果发现不能下载,请复制以下地址【https://www.bdocx.com/down/9307436.html】到电脑端继续下载(重复下载不扣费)。

已注册用户请登录:
账号:
密码:
验证码:   换一换
  忘记密码?
三方登录: 微信登录   QQ登录  

下载须知

1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。
2: 试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。
3: 文件的所有权益归上传用户所有。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 本站仅提供交流平台,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

版权提示 | 免责声明

本文(基于EDA技术的QDPSK调制系统设计.docx)为本站会员(b****7)主动上传,冰豆网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知冰豆网(发送邮件至service@bdocx.com或直接QQ联系客服),我们立即给予删除!

基于EDA技术的QDPSK调制系统设计.docx

1、基于EDA技术的QDPSK调制系统设计数字通信原理课程设计报告书课题名称基于EDA技术的QDPSK调制系统设计姓 名学 号院 系物理与电信工程系专 业通信工程指导教师 2010年 1 月15日一、 设计任务及要求:设计任务:利用EDA技术设计通信电路硬件,对基带信号进行QDPSK调制,在接收端实现QDPSK信号解调。要求:(1)要求能够熟练地用EDA技术进行数字基带信号的QDPSK调制与解调的硬件设计。(2)要求用VHDL语言设计CPSK调制、CPSK解调、绝对码-相对码转换、相对码绝对码转换程序并以此产生相应的芯片,并运用所设计芯片进行DPSK调制与解调仿真。 指导教师签名: 2010年1月

2、15日 二、指导教师评语: 指导教师签名: 2010年1月 日 三、成绩验收盖章 2010年1月 日基于EDA技术的QDPSK调制系统设计0712401-03 尹华标(湖南城市学院物理与电信工程系通信工程专业,益阳,413000)1 设计目的 (1) 通过本课程设计的开展,使学生能够掌握通信原理中数字信号的DPSK 调制和解调,并能用EDA技术进行硬件设计并进行仿真。(2)使学生加深对所学的通信原理中数字调制知识的理解,培养学生专业素质;掌握通信电路的设计方法,能够进行设计简单的通信电路系统,培养学生对通信电路系统的调试和电路仿真的能力;通过专业课程设计掌握通信中分析简单通信系统的方法;培养学

3、生严肃认真的工作作风和严谨的科学态度。2 设计的主要内容和要求(1)要求能够熟练地用EDA技术进行数字基带信号的QDPSK调制与解调的硬件设计。(2)要求用VHDL语言设计CPSK调制、CPSK解调、绝对码-相对码转换、相对码绝对码转换程序并以此产生相应的芯片,并运用所设计芯片进行QDPSK调制与解调仿真。3 设计原理数字信号对载波相位调制称为相移键控(即相位键控)PSK( PhaseShift Keying )。数字相位调制(相位键控)是用数字基带信号控制载波的相位,使载波的相位发生跳变的一种调制方式。四进制相位键控用同一个载波的四种相位来代表数字信号。由于PSK系统抗噪声性能优于ASK和F

4、SK,而且频带利用率较高,所以,在中、高速数字通信中被广泛采用。数字调相(相位键控)常分为:( 1)绝对调相,记为 CPSK;( 2)相对调相,记为 DPSK。对于四进制的绝对调相记为QCPSK,相对调相记为QDPSK。3.1 绝对调相( CPSK )所谓绝对调相即CPSK,是利用载波的不同相位去直接传送数字信息的一种方式。对二进制CPSK,若用相位代表“00”码,相位0代表“11”码,相位代/2表“01”码,相位代3/2表“10”码,即规定数字基带信号为“00”码时,已调信号相对于载波的相位为;数字基带信号为“11”码时,已调信号相对于载波相位为同相,即规定数字基带信号为“01”码时,已调信

5、号相对于载波的相位为/2;即规定数字基带信号为“10”码时,已调信号相对于载波的相位为3/2。按此规定,2CPS K信号的数学表示式为(3.1) 式中0为载波的初相位。受控载波在0、/2、3/2四个相位上变化。关于CPSK波形的特点,必须强调的是:CPSK波形相位是相对于载波相位而言的。因此 画 CPSK波形时,必须先把载波画好,然后根据相位的规定,才能画出它的波形。3.2 相对调相(DPSK) 相对调相(相对移相),即DPSK,也称为差分调相,这种方式用载波相位的相对变化来传送数字信号,即利用前后码之间载波相位的变化表示数字基带信号的。所谓相位变化又有向量差和相位差两种定义方法。向量差是指前

6、一码元的终相位与本码元初相位比较,是否发生相位变化。而相位差是指前后两码元的初相位是否发生了变化。对同一个基带信号,按向量差和相位差画出的DPSK波形是不同的。例如在相位差法中,在绝对码出现“1”码时,DPSK的载波初相位即前后两码元的初相位相对改变。出现“0”码时,DPSK的载波相位即前后两码元的初相位相对不变。在向量差法中,在绝对码出现“1”码时,DPSK的载波初相位相对前一码元的终相位改变。出现“0”码时,DPSK的载波初相位相对前一码元的终相位连续不变。在画DPSK波形时,第一个码元波形的相位可任意假设。由以上分析可以看出,绝对移相波形规律比较简单,而相对移相波形规律比较复杂。绝对移相

7、是用已调载波的不同相位来代表基带信号的,在解调时,必须要先恢复载波,然后把载波与CPSK信号进行比较,才能恢复基带信号。由于接收端恢复载波常常要采用二分频电路,它存在相位模糊,即用二分频电路恢复的载波有时与发送载波同相,有时反相,而且还会出现随机跳变,这样就给绝对移相信号的解调带来困难。而相对移相,基带信号是由相邻两码元相位的变化来表示,它与载波相位无直接关系,即使采用同步解调,也不存在相位模糊问题,因此在实际设备中,相对移相得到了广泛运用。图3.1为系统框图。 图3.1 系统框图4 硬件电路的设计 4.1 硬件电路的VHDL语言源程序设计4.1.1 CPSK调制VHDL程序-文件名:PL_C

8、PSK-功能:基于VHDL硬件描述语言,对基带信号进行调制library ieee;use ieee.std_logic_arith.all;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity PL_CPSK is -实体部分port( clk :in std_logic; -系统时钟 start :in std_logic; -开始调制信号 x :in std_logic_vector(1 downto 0); -基带信号 y :out std_logic_vector(1 downto 0); -已调制输出信

9、号end PL_CPSK;architecture behav of PL_CPSK is -结构体部分signal q:std_logic_vector(1 downto 0); -2位计数器signal f1,f2:std_logic_vector(1 downto 0); -载波信号beginprocess(clk) -此进程主要是产生两重载波信号f1,f2beginif clkevent and clk=1 then if start=0 then q=00; elsif q=01 then f1=11;f2=00;q=q+1; elsif q=10 then f1=10;f2=01;

10、q=q+1; elsif q=11then f1=00;f2=11;q=00; else f1=01;f2=10;q=q+1; end if;end if;end process;process(clk,x) -此进程完成对基带信号x的调制 beginif clkevent and clk=1 then if q(0)=1 then if x=11 then y=f1; -基带信号x为11时,输出信号y为f1 elsif x=10 then y=not f1; -基带信号x为10时,输出信号y为否f1 elsif x=01 then y=f2; -基带信号x为01时,输出信号y为f2else

11、y=not f2; -基带信号x为00时,输出信号y为否f2 end if; end if;end if;end process;end behav;4.1.2 CPSK解调VHDL程序-文件名:PL_CPSK2-功能:基于VHDL硬件描述语言,对CPSK调制的信号进行解调library ieee;use ieee.std_logic_arith.all;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity PL_CPSK2 isport( clk :in std_logic; -系统时钟 start :in st

12、d_logic; -同步信号 x :in std_logic_vector(1 downto 0); -调制信号 y :out std_logic_vector(1 downto 0); -基带信号end PL_CPSK2;architecture behav of PL_CPSK2 issignal q:integer range 0 to 3; beginprocess(clk) -此进程完成对CPSK调制信号的解调beginif clkevent and clk=1 then if start=0 then q=0; elsif q=0 then q=q+1; -在q=0时,根据输入信号

13、x的电平来进行判决 if x=11 then y=11; elsif x=10 then y=10; elsif x=01 then y=01; else y=00; end if; elsif q=3 then q=0; else q=q+1; end if;end if;end process;end behav;4.1.3 绝对码相对码转换VHDL程序-文件名:PL_DPSK-功能:基于VHDL硬件描述语言,对基带信号进行绝对码到相对码的转换library ieee;use ieee.std_logic_arith.all;use ieee.std_logic_1164.all;use

14、ieee.std_logic_unsigned.all;entity PL_DPSK isport(clk :in std_logic; -系统时钟 start :in std_logic; -开始转换信号 x :in std_logic_vector(1 downto 0); -绝对码输入信号 y : out std_logic_vector(1 downto 0); -相对码输出信号end PL_DPSK;architecture behav of PL_DPSK is -结构体部分signal q:integer range 0 to 3; -分频器signal xx:std_logic

15、_vector(1 downto 0); -中间寄存信号 beginprocess(clk,x) -此进程完成绝对码到相对码的转换beginif clkevent and clk=1 then if start=0 then q=0; xx=00; elsif q=0 then q=1; xx=xx xor x;y=xx xor x; -输入信号与前一个输出信号进行异或 elsif q=3 then q=0; else q=q+1; end if;end if;end process;end behav;4.1.4 相对码绝对码转换VHDL程序-文件名:PL_DPSK2-功能:基于VHDL硬件

16、描述语言,对基带码进行相对码到绝对码的转换library ieee;use ieee.std_logic_arith.all;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity PL_DPSK2 isport(clk :in std_logic; -系统时钟 start :in std_logic; -开始转换信号 x :in std_logic_vector(1 downto 0); -相对码输入信号 y :out std_logic_vector(1 downto 0); -绝对码输出信号end PL_DPS

17、K2;architecture behav of PL_DPSK2 issignal q:integer range 0 to 3; -分频signal xx:std_logic_vector(1 downto 0); -寄存相对码beginprocess(clk,x) -此进程完成相对码到绝对码的转换beginif clkevent and clk=1 then if start=0 then q=0; elsif q=0 then q=1; elsif q=3 then q=0; y=xx xor x; xx=x; -输入信号x与前一输入信号xx进行异或 else q=q+1; end i

18、f;end if;end process;end behav;对上述VHDL语言源程序在Quartus II 6.0中进行编译并生成电路元件。4.2 硬件电路中的电路元件 图4.2.1 绝对码相对码的源程序元件 图4.2.2 CPSK调制的源程序元件 图4.2.3 CPSK解调的源程序元件 图4.2.4 相对码绝对码的源程序元件4.3 系统硬件电路的总体连接图图4.3.1 系统仿真的硬件电路连接图5 系统仿真 用Quartus II 6.0仿真硬件电路图,结果如下:图5.1 系统仿真局部图仿真图各信号说明如下:clk为时钟信号,start为始能信号,x为输入基带信号,y5、y4为绝对码转换成相

19、对码波形,y7、y6为调制后波形,y3、y2为解调后波形,y1、y0为相对码转换成绝对码波形。6 设计总结通过这一周的数字通信原理与技术的课程设计,给我最大的感受就是对知识的综合运用,这次课程设计我选择的设计内容是基于EDA的设计,因为我们这学期才学完EDA技术,利用这次机会正好进行运用。但是,设计的过程中出现的种种问题让我明白了自己原来知识的不完善和知识掌握深度的严重不足,自己要学习的东西还太多。知识需要尽量全面,学习需要足够扎实!7 心得与体会在设计过程中,我通过查阅有关资料,与同学交流经验和自学,并向老师请教等方式,使自己学到了不少在实践中需要而课本上没有的东西,特别是操作的过程中让我明

20、白了我们工科专业对操作的重要性和实践的积累性。而此次设计中大量的动手操作给了我锻炼的机会的同时也使我充分体会到了在创造过程中探索的艰难。总之,尽管这次的设计做的时间比较紧,但是在设计过程中所学到的东西是这次课程设计的最大收获和财富,使我终身受益。参考文献1樊昌信,曹丽娜.通信原理M.北京:国防工业出版社,2009:87-95.2曹志刚,钱亚生.现代通信原理M.北京:清华大学出版社,1994:34-43.3王兴亮,寇宝明.数字通信原理与技术M.西安:西安电子科技大学出版社,2009:32-41.4江国强.EDA技术与应用M.北京:电子工业出版社,2007:80-85.5 潘松,黄继业.VHDL技术应用教程M.北京:科学出版社,2002:147-168.

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1