ImageVerifierCode 换一换
格式:DOCX , 页数:23 ,大小:27.17KB ,
资源ID:7103082      下载积分:3 金币
快捷下载
登录下载
邮箱/手机:
温馨提示:
快捷下载时,用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)。 如填写123,账号就是123,密码也是123。
特别说明:
请自助下载,系统不会自动发送文件的哦; 如果您已付费,想二次下载,请登录后访问:我的下载记录
支付方式: 支付宝    微信支付   
验证码:   换一换

加入VIP,免费下载
 

温馨提示:由于个人手机设置不同,如果发现不能下载,请复制以下地址【https://www.bdocx.com/down/7103082.html】到电脑端继续下载(重复下载不扣费)。

已注册用户请登录:
账号:
密码:
验证码:   换一换
  忘记密码?
三方登录: 微信登录   QQ登录  

下载须知

1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。
2: 试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。
3: 文件的所有权益归上传用户所有。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 本站仅提供交流平台,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

版权提示 | 免责声明

本文(EDA选择题.docx)为本站会员(b****6)主动上传,冰豆网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知冰豆网(发送邮件至service@bdocx.com或直接QQ联系客服),我们立即给予删除!

EDA选择题.docx

1、EDA 选择题选择题 选择题题库 一、VHDL基本结构 一个项目的输入输出端口是定义在:A.实体中 B.结构体中 C.任何位置 D.进程中 描述项目逻辑功能的是:A.实体 B.结构体 C.配置 D.进程 关键字 ARCHITECTURE 定义的是:A.结构体 B.进程 C.实体 D.配置 VHDL语言共支持四种常用库,其中哪种库是用户的 VHDL设计现行工作库:库 库 库 工作库 VHDL语言是一种结构化设计语言;一个设计实体(电路模块)包括实体与结构体两部分,结构体 描述是:A器件外部特性;B器件的内部功能;C器件的综合约束;D器件外部特性与内部功能。在 VHDL中,库可以包含一个或多个。A

2、.程序包 B.结构体 C.输入 D.输出 一个能为 VHDL综合器接受,并能作为一个独立的设计单元的完整的 VHDL程序成为。A 设计输入 B.设计输出 C.设计实体 D.设计结构 Q为输出信号,但内部设计会用到其反馈信号,其正确的端口说明是:A.Q:IN BIT;B.Q:OUT BIT;C.Q:INOUT BIT;D.Q:BUFFER BIT;VHDL语言程序结构的特点是把一个设计实体分成。A.外部和内部 B.实体和实体说明 C.结构体和结构体说明 D.图形部分和文本部分技 VHDL设计文件的实体说明部分描述的是:A.电路系统的内部结构 B.电路系统的逻辑功能 C.电路系统的主要参数 D.电

3、路系统的外部端口 VHDL语言程序结构中必不可少的部分是:A.库 B.程序包 C.配置 D.实体和结构体 下列选项中,哪些项在 VHDL程序设计文件中属于可选部分:A.库和实体 B.实体和结构体 C.结构体和配置 D.库、程序包和配置 关于 VHDL中实体说明的格式,以下叙述不正确的是 A.实体说明以“ENTITY 实体名 IS”开头,以“END 实体名”结束 B.实体说明中包含类属表和端口说明两部分 C.端口说明中只需要规定端口的模式即可 D.实体名一定要与设计文件同名 在 VHDL的实体说明中,端口名表的作用是:A.列出所有输入端口的名称 B.列出所有输出端口的名称 C.说明实体输入、输出

4、端口的信号类型及端口模式 D.只定义输入、输出端口的数目 在 VHDL中,为了使已声明的数据类型、子程序、元件能被其他设计实体调用或共享,可以把它们汇集在 中。A.设计实体 B.配置 C.结构体 D.库和程序包 在包含多个结构体的 VHDL程序中,必须使用 来选择用于综合和仿真的结构体。语句 语句 语句 语句 在 VHDL的端口声明语句中,用 声明端口为双向方向。类属说明的正确格式是:(delay:TIME=20us);(delay:TIME:=20us);(delay TIME=20us);(delay=TIME:=20us);VHDL的设计实体可以被高层次的系统,成为系统的一部分 A.输入

5、 B.输出 C.仿真 D.调用 VHDL常用的库是 标准库 VHDL的实体声明部分用来指定设计单元的:A.输入端口 B.输出端口 C.引脚 D.以上均可 以下关于 VHDL中的程序包,说法错误的是:A.程序包可定义一些子程序、常量和用户数据类型,供多个设计实体共享 B.用户只能使用 VHDL预定义的标准程序包,不能由用户自己定义程序包 C.程序包由程序包声明单元和程序包体单元两部分构成 D.在实体中引用一个程序包的格式为:Use 库名程序包名项目名;在 VHDL中,为了使已声明的数据类型、子程序、元件能被其他设计实体调用或共享,可以把它们汇集在 中。A.设计实体 B.程序库 C.结构体 D.程

6、序包 如果信号 a定义为标准逻辑矢量,要使 a=a+1;语句合法,则需要打开下面哪些程序包:std_logic_1164 std_logic_unsigned std_logic_arith std_logic_signed A.和 B.和 C.和 D.和 二、VHDL基本语法 (一)标识符 1987标准的 VHDL语言对大小写是:A.敏感的 B.只能用小写 C.只能用大写 D.不敏感 关于 1987标准的 VHDL语言中,对标识符描述正确的是:A.必须以英文字母开头 B.可以使用汉字开头 C.可以使用数字开头 D.任何字符都可以 1987标准的 VHDL语言中,对合法的标识符描述不正确的是:

7、A.下划线不能用在开头 B.下划线不能连用 C.不能使用下划线 D.下划线不能用在结尾 符合 1987标准 VHDL 的标识符是:A.A_2 B.A+2 C.2A D.22 符合 1987VHDL标准的标识符是:A.a_2_3 B.a_ _2 C.2_2_a D.2a 不符合 1987标准 VHDL的标识符是:A.a_1_in B.a_in_2 C.2_a D.asd_1 不符合 1987 标准 VHDL的标识符是:A.a2b2 B.a1b1 C.ad12 D.%50 下列标识符中,是不合法的标识符。A.State0 B.9moon C.Not_Ack_0 D.signall 在 VHDL的

8、IEEE标准库中,预定义的标准逻辑位 STD_LOGIC 的数据类型中是用什么表示的:A 小写字母和数字 B.大写字母数字 C.大或小写字母和数字 D.全部是数字 下面哪一个可以用作 VHDL中的合法的实体名:A.OR B.VARIABLE C.SIGNAL D.OUT1 以下关于 VHDL中标识符的说法不正确的是:A.标识符由 26个英文字母和数字 09以及下划线组成 B.标识符必须由英文字母开始,且不能以下划线结束 C.标识符中可以包含空格 D.标识符不允许与 VHDL中的关键字重合(二)数据类型 对于大多数主流的 VHDL综合器,一般不支持哪个数据类型:在 VHDL中,乘“*”和除“/”

9、算术运算的操作数据是 数据类型 A.整型 B.实型 C.整型和实型 D.比特型 SIGNAL b:BIT_VECTOR(0 TO 0),信号 b的位宽是几位 A.0 B.1 C.2 D.非法语句 下面哪个数据类型不能够被综合,仅能用于仿真。A.STD_LOGIC B.INTEGER C.BIT D.REAL 下面哪个数据类型只有“真”和“假”两种状态。A.STD_LOGIC B.INTEGER C.BIT D.BOOLEAN 关于 VHDL数据类型,正确的是:A.数据类型不同不能进行运算 B.数据类型相同才能进行运算 C.数据类型相同或相符就可以运算 D.运算与数据类型无关 下面数据中属于实数

10、的是:A.B.3 C.1 D.“11011”下面数据中属于位矢量的是:A.B.3 C.1 D.“11011”有如下定义:signal a:character 则下面哪个赋值语句是正确的 A.a=”11”;B.a=”zz”C.a=z=Z;关于 VHDL数据类型,不正确的是:A.“STD_LOGIC”是 IEEE预定义数据类型 B.“BIT_VECTOR”是 IEEE 预定义数据类型 C.布尔型数据类型的取值是 FALSE 和 TRUE D.数据类型为字符型的标识符是区分大小写 的。下列哪个数据类型不必事先声明而可以直接引用 A.STD_LOGIC B.STD_LOGIC_VECTOR C.BIT

11、 D.前面三个答案都是错误的 STD_LOGIG_1164 中定义的高阻是字符 A.X B.x C.z D.Z 要使用 std_logic数据类型,必须对 IEEE中的 程序包进行声明。A.std_logic_signed B.std_logic_unsigned C.std_logic_arith D.std_logic_1164 在 STD_LOGIG_1164 中字符 Z定义为 A.高阻 B.弱信号 0 C.弱信号 1 D.初始值 使用 STD_LOGIG_1164 使用的数据类型时 A.可以直接调用 B.必须在库和包集合中声明 C.必须在实体中声明 D.必须在结构体中声明 关于转化函数

12、正确的说法是 A.任何数据类型都可以通过转化函数相互转化 B.只有特定类型的数据类型可以转化 C.任何数据类型都不能转化 D.前面说法都是错误的 有如下定义:TYPE week IS(sun,mon,tue,wed,thr,fri,sat);则 week的数据类型是 A.字符 D.枚举 关于 VHDL中的数字,请找出以下数字中数值最小的一个:A.2#1111_1110#B.8#276#C.10#170#D.16#E#E1 关于 VHDL中的数字,请找出以下数字中最大的一个:。A2#1110_1110#B8#376#6 E C 10#170#D16#E#E1 在 VHDL的 IEEE标准库中,预

13、定义的标准逻辑数据 STD_LOGIC 有 种逻辑值。A 2 B.3 C.9 D.8(三)运算符 关 VHDL运算符优先级的说法正确的是 A.逻辑运算的优先级最高 B.关系运算的优先级最高 C.逻辑运算的优先级最低 D.关系运算的优先级最低 关于 VHDL运算符优先级的说法正确的是 A.NOT的优先级最高 B.AND和 NOT属于同一个优先级 C.NOT的优先级最低 D.前面的说法都是错误的 关于 VHDL运算符优先级的说法正确的是 A.括号不能改变优先级 B.不能使用括号 C.括号的优先级最低 D.括号可以改变优先级 下面哪个不是 VHDL 运算符。A.算术运算符 B.连接运算符 C.关系运

14、算符 D.赋值运算符 转换函数 TO_BITVECTOR(A)的功能是 A.将 STDLOGIC_VECTOR 转换为 BIT_VECTOR B.将 REAL转换为BIT_VECTOR C.将 TIME 转换为 BIT_VECTOR D.将 INTEGER 转换为 BIT_VECTOR 如果 a=1,b=0,则逻辑表达式(a AND b)OR(NOT b AND a)的值是 A.0 B.1 C.2 D.不确定 关于关系运算符的说法正确的是 A.如果 a和 b 都是字符型数据,则不能用“=”关系运算符 B.关系运算只用于整数类型的数据 C.关系运算的数据类型必须相同 D.关系运算的数据类型可以不

15、相同 布尔表达式 Y=AB+C 的正确 VHDL表达式是=A AND B OR C;=A AND(B OR C)=AC+C=A AND B+C 执行下列语句后 Q 的值等于。SIGNAL E:STD_LOGIC_VECTOR(2 TO 5);SIGNAL Q:STD_LOGIC_VECTOR(9 DOWNTO 2);E0,4=0,OTHERS=1);QE(2),4=E(3),5=1,7=E(5),OTHERS=E(4);A“”B.“00110100”C.“”D.“00101100”逻辑操作符 XNOR 表示 逻辑。A.异或 B.或非 C.与非 D.同或 在 VHDL中,不需要进行说明而可直接使

16、用的数据类型是 A.标准定义的数据类型 B.用户自定义的数据类型 预定义标准数据类型 D.以上均不可以 在 VHDL中,45_234_287 属于()数据类型 A.整数型 B.比特型 C.实数 D.字符型 在 VHDL中,加“”和减“一”算术运算的操作数据是 数据类型。A.整型 B.实型 C.整型或实型 D.任意类型 如果语句 c”不是操作符号,它只相当与 作用。A.IF B.THEN C.AND D.OR 在 VHDL的顺序语句中中,下面的哪个语句具有优先级。A.IF B.CASE C.FOR_LOOP 下列关于 CASE 语句的说法不正确的是。A.条件句中的选择值或标识符所代表的值必须在表

17、达式的取值范围内。B.CASE 语句中必须要有 WHEN OTHERS=NULL;语句。C.CASE 语句中的选择值只能出现一次,且不允许有相同的选择值的条件语句 D.CASE 语句执行必须选中,且只能选中所列条件语句中的一条。在 VHDL的 FOR_LOOP 语句中的循环变量是一个临时变量,属于 LOOP 语句的局部;变量,事先声与 明。A.必须 B.不必 C.其类型要 D.其属性要 在 VHDL中,语句”FOR I IN 0 TO 7 LOOP”定义循环次数为几次。A.8 B.7 C.0 过程调用前需要将过程的过程首和过程体装入 中。A.源程序 B.结构体 C.程序包 D.设计实体 使用下

18、面哪个语句可以使 FOR_LOOP 语句跳到循环的起点。A.next B.exit C.break D.continue 使用下面哪个语句可以使 FOR_LOOP 语句跳到循环的终点。A.next B.exit C.break D.continue 下面有关 while_loop 语句说法正确的是 A.while_loop 循环次数已知;B.一般综合工具都支持 while_loop 语句;C.循环变量不需要事先定义;D.以上说法都不正确。(五)并行语句 在 VHDL中,PROCESS 结构内部是由 语句组成的。A.顺序 B.顺序和并行 C.并行 D.任何 在 VHDL中,PROCESS 本身是

19、 语句。A.顺序 B.顺序和并行 C.并行 D.任何 在元件例化语句中,用哪个符号实现名称映射,将例化元件端口声明语句中的信号与 PORT MAP()中的信号名关联起来。A.=B.:=C.在 VHDL中,含 WAIT 语句的进程 PROCESS 的括弧中 再加敏感信号,否则则是非法的。A.可以 B.不能 C.必须 D.有时可以 以下对于进程 PROCESS 的说法,正确的是:A.进程之间可以通过变量进行通信 B.进程内部由一组并行语句来描述进程功能 C.进程语句本身是并行语句 D.一个进程可以同时描述多个时钟信号的同步时序逻辑 进程中的信号赋值语句,其信号更新是。A.按顺序完成;B.比变量更快

20、完成;C.在进程的最后完成;D.以上都不对。下面有关进程中敏感信号的说法正确的是 A.全部敏感信号的变化,才将启动进程。B.同步进程的敏感信号表中只有时钟信号。C.异步进程敏感信号表中除时钟信号外,还有其它信号。D.如果有 WAIT 语句,则不允许有敏感信号表。在下面 程序结构中执行的语句是并行语句:A.进程 B.函数 C.过程 D.结构体 在 VHDL中,条件信号赋值语句 WHEN_ELSE 属于 语句。A 并行和顺序 B.顺序 C.并行 D.不存在的 下面有关块语句的说法不正确的是 A.块语句本身是并行语句,并且块内部所包含的语句也是并行语句。B.块语句的使用不影响逻辑功能。C.块嵌套时,

21、子块声明与父块声明的对象同名时,父块声明将忽略掉子块声明。D.块语句将一系列并行描述语句进行组合,目的是改善并行语句及其结构的可读性。进程内不能定义:A.常量 B.变量 C.信号 D.子程序 下列哪种语句不属于并列语句:A.块语句 B.进程语句 C.结构体配置语句 D.子程序调用语句 VHDL中对象属性的引用格式为()。A.对象:属性 B.对象=属性 C.对象属性 D.对象:属性 以下关于 VHDL语言中顺序语句和并行语句的区别,不正确的是:A.顺序语句按语句的排列顺序执行;并行语句的执行顺序与书写顺序无关。B.并行语句体现了硬件电路的并行性。C.顺序语句可直接构成结构体;而并行语句则不能。D

22、.顺序语句可用于描述模块的算法;并行语句可用于描述模块间的连接关系。以下语句不属于并行语句的是:A.进程语句 B.元件例化语句 C.生成语句 D.循环控制语句 在进程 PROCESS 的括弧中,如果已经有敏感信号,则不能含有 语句否则是非法的。B.WAIT 在 VHDL中,条件信号赋值语句 WHEN_ELSE 属于 语句。A.并行兼顺序 B.顺序 C.并行 D.非法 在元件例化(COMPONENT)语句中,用 符号实现名称映射,将例化元件端口声明语句中的信号名 与 PORT MAP()中的信号名关联起来。A.=B.:=C.在使用元件例化语句时,如采用的是位置关联的方式,则例化的端口表达式(信号

23、)与元件声明语 句中的端口。A.名称必须一致,顺序可以不一致;B.名称可以不一致,顺序必须一致;C.名称必须一致,顺序也必须一致;D.名称可以不一致,顺序也可以不一致。进程语句中敏感信号列表的作用是:A.说明进程运行的结果 B.决定进程运行的先后顺序 C.决定进程语句的启动与否 D.实现进程语句的独立性 元件例化语句的作用是:A.描述元件模块的算法 B.改善并行语句及其结构的可读性 C.产生一个与某元件完全相同的一组并行元件 D.在高层次设计中引用前面已经设计好的元件或电路模块 关于元件例化语句的元件声明的作用,以下说法中正确的是:A.说明所引用元件的逻辑功能 B.说明所引用元件的端口信息 C

24、.说明所引用元件的个数 D.说明所引用元件的存储位置 下列语句中,不属于并行语句的是:A.进程语句 语句 C.元件例化语句 ELSE语句 进程语句中敏感信号列表的作用是:A.说明进程运行的结果 B.决定进程运行的先后顺序 C.决定进程语句的启动与否 D.实现进程语句的独立性 在 VHDL语言中,下列对进程(PROCESS)语句的语句结构及语法规则的描述中,正确的是:为一无限循环语句;敏感信号发生更新时启动进程,执行完成后,等待下一次进程启动。B.敏感信号参数表中,应列出进程中使用的所有输入信号;C.进程由说明部分、结构体部分、和敏感信号参数表三部分组成;D.当前进程中声明的变量也可用于其他进程

25、。下面有 WITH_SELECT 语句的说法不正确的是:A.不能有重叠的条件分支。B.最后条件必须为 OTHERS。C.选择信号赋值语句与进程中的 CASE 语句等价。D.赋值目标必须是信号,与其它并行语句同时执行,与书写顺序无关。下面有 WHEN_ELSE 语句的说法不正确的是:A.赋值目标必须是信号。B.不能有重叠的条件分支。C.选择信号赋值语句与进程中的 IF 语句等价。D.需要把表达式的所有可能取值都列举出来,否则最后条件必须为 OTHERS。三、数据对象 VHDL语言中变量定义的位置是:A.实体中中任何位置 B.实体中特定位置 C.结构体中任何位置 D.结构体中特定位置 VHDL语言

26、中信号定义的位置是:A.实体中任何位置 B.实体中特定位置 C.结构体中任何位置 D.结构体中特定位置 变量是局部量可以定义在:A.实体中 B.进程中 C.程序包中 D.结构体中 在 VHDL的并行语句之间,可以用 来传送往来信息。A.变量 B.变量和信号 C.信号 D.常量 关于变量和信号的赋值符号描述正确的是:A.变量赋值号是:=B.信号赋值号是:=C.变量赋值号是=D.二者没有区别 关于变量和信号的描述正确的是:A.变量可以带出进程 B.信号可以带出进程 C.信号不能带出进程 D.二者没有区别 对于信号和变量的说法,哪一个是不正确的:A.信号用于作为进程中局部数据存储单元 B.变量的赋值

27、是立即完成的 C.信号在整个结构体内的任何地方都能适用 D.变量和信号的赋值符号不一样 下列关于变量的说法正确的是:。A.变量是一个局部量,它只能在进程和子程序中使用 B.变量的赋值不是立即发生的,它需要有一个 延时。C.在进程的敏感信号表中,既可以使用信号,也可以使用变量。D.变量赋值的一般表达式为:目标变量名=表达式。VHDL中,为目标变量赋值符号是:。A.=:B.=C.=D.:=在 VHDL中 不能将信息带出对它定义的当前设计单元。A.信号 B.常量 C.数据 D.变量 在 VHDL中,为定义的信号赋初值,应该使用哪个符号。A.=:B.=C.:=D.=下列哪一项不属于 VHDL中的数据对

28、象 以下关于 VHDL中常量的声明正确的是:Width:Integer=8;Width:Integer:=8;Width:Integer=8;Width:Integer:=8;下列关于信号的说法不正确的是:。A.信号相当于器件内部的一个数据暂存节点。B.信号的端口模式不必定义,它的数据既可以流进,也可以流出。C.在同一进程中,对一个信号多次赋值,其结果只有第一次赋值起作用。D.信号在整个结构体内的任何地方都能适用。下面正确给变量 X 赋值的语句是:A.X=A+B;B.X:=A+b;C.X=A+B;D.前面的都不正确 在一个 VHDL设计中,idata定义为一个信号,数据类型为 std_logi

29、c_vector,试指出下面那个赋值 语句是错误的:=“00001111”;=b”0000_1111”;=X”AB”=B”21”;在一个 VHDL设计中 idata是一个信号,数据类型为 integer,数据范围 0 to 127,下面哪个赋值语 句是正确的::=32;=10#17#;=16#FE#;:=B#1010#;变量不能使用的程序结构部分是:A.结构体 B.进程 C.函数 D.过程 a已定义为信号,b 已定义为变量,下面正确的表达是:.=b B.a=b C.b:=a D.b=a 有如下定义:signal a:bit;signal b:bit_vector(1 downto 0);下面正

30、确的表达式是:A.b=a;B.a=b;C.a=b(0);D.a:=b(0);有如下定义:signal a,b:bit;signal y:bit_vector(1 downto 0);下面正确的表达式是:A.y=a;B.y=b;C.y=b and a;D.y=b&a;常量的正确格式是:Vcc:REAL=;Vcc:REAL:=;Vcc REAL=;Vcc:=;a的初值为 0;执行语句 a=a+1;a=a+1;aset project to current file Bnodeenter node from SNF C assignpin/location chip D filecreate def

31、ault symbol 执行 MAX+PLUSII的哪个命令,可以为设计电路建立一个元件符号。A create default symbol B.simulator C.compiler D.timing analyzer 执行 MAX+PLUSII的哪个命令,可以对设计的电路进行仿真。Default Symbol 下面哪一条命令是 MAXPLUSII软件中引脚锁定的命令。A fileset project to current file Bnodeenter node from SNF C assignpin/location chip D filecreate default symbol

32、 下面哪一条命令是 MAX+PLUSII在时序仿真时执行加载节点的命令 A.fileset project to current file B.assignpin/location chip C.nodeenter node from SNF D.filecreate default symbol 六、EDA基本概念 EDA的中文含义是 A.电子设计自动化 B.计算机辅助计算 C.计算机辅助教学 D.计算机辅助制造 可编程逻辑器件的英文简称是 A.FPGA B.PLA C.PAL D.PLD 现场可编程门阵列的英文简称是 A.FPGA B.PLA C.PAL D.PLD 基于下面技术的 PLD

33、 器件中允许编程次数最多的是 A.FLASH B.EEROM C.SRAM D.PROM 在 EDA中,ISP 的中文含义是 A.网络供应商 B.在线系统可编程 C.没有特定意义 D.使用编程器烧写 PLD芯片 在 EDA中,IP 的中文含义是 A.网络供应商 B.在系统编程 C.网络协议 D.知识产权核 芯片 EPF10K20TC144-4具有多少个管脚 A.144个 B.84 个 C.10 个 D.20个 EPF10K20TC144-X 器件,如果 X的值越小表示 A.器件的工作频率越小 B.器件的速度越快 C.器件的延时越小 D.器件的功耗越小 下面对利用原理图输入设计方法进行数字电路系统设计,那一种说法是不正确的 A.原理图输入设计方法直观便捷,但不适合完成较大规模的电路系统设计;B.原理图输入设计方法一般是一种自底向上的设计方法;C.原理图输入设计方法无法对电路进行功能描述;D.

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1