ImageVerifierCode 换一换
格式:DOCX , 页数:8 ,大小:245.95KB ,
资源ID:6677836      下载积分:3 金币
快捷下载
登录下载
邮箱/手机:
温馨提示:
快捷下载时,用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)。 如填写123,账号就是123,密码也是123。
特别说明:
请自助下载,系统不会自动发送文件的哦; 如果您已付费,想二次下载,请登录后访问:我的下载记录
支付方式: 支付宝    微信支付   
验证码:   换一换

加入VIP,免费下载
 

温馨提示:由于个人手机设置不同,如果发现不能下载,请复制以下地址【https://www.bdocx.com/down/6677836.html】到电脑端继续下载(重复下载不扣费)。

已注册用户请登录:
账号:
密码:
验证码:   换一换
  忘记密码?
三方登录: 微信登录   QQ登录  

下载须知

1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。
2: 试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。
3: 文件的所有权益归上传用户所有。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 本站仅提供交流平台,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

版权提示 | 免责声明

本文(8位十进制频率计设计 EDA技术与Verilog HDL实验报告.docx)为本站会员(b****6)主动上传,冰豆网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知冰豆网(发送邮件至service@bdocx.com或直接QQ联系客服),我们立即给予删除!

8位十进制频率计设计 EDA技术与Verilog HDL实验报告.docx

1、8位十进制频率计设计 EDA技术与Verilog HDL实验报告8位十进制频率计设计一实验目的熟悉在QuartusII下设计2位和8位十进制频率计。二实验内容在QuartusII下设计2位和8位十进制频率计,并编译、仿真验证其功能。三程序清单频率计顶层文件设计:(1)2位十进制频率计Conter8.bdf图形输入:tf_ctro.bdf图形输入:ft_top.bdf图形输入:conter100.v文本输入:module conter100(CLK,CLR,EN,cout,ge,shi); input CLK,EN,CLR; output 3:0ge; output 3:0shi ; outpu

2、t cout; reg cout; reg 3:0ge; reg 3:0shi;always (posedge CLK ) if (!CLR) begin ge=0; shi=0; cout=0; end else if(ge=9)&(shi=9) begin ge=0; shi=0; cout=1; end else if (ge=9) begin ge=0; shi=shi+1; cout=0; end else begin ge=ge+1; shi=shi; cout=0; end endmoduletf_ctro.v文本输入: module tf_ctro (clk,en,clr,lo

3、ck);input clk;output en,clr,lock;reg en,clr,lock;integer d=0;always (posedge clk)begind=d+1;if (d=1)beginen=0;lock=0;clr=0; endelse if(d=2)beginlock=1;en=0;clr=0;endelse if (d=3)beginlock=0;clr=0;en=0;endelse if (d=6)beginlock=0;clr=1;en=0;endelse if (d=7)beginlock=0;clr=0;en=0;endelse if(d=8)beginl

4、ock=0;clr=0;en=1;endelse if(d=16)begind=0;en=0;lock=0;clr=0;endendendmodule 74374锁存器文本输入:module octal(clk,en,d_in,q_in );input clk,en; input 3:0 d_in; output 3:0 q_in; reg 3:0 Q; assign q_in=Q;always (posedge clk) if(!en) beginQ=d_in;endendmodule(2)8位十进制频率计ft_top.bdf图形输入:四实验步骤1、 新建一个名称为CTR10的工程,并在该文

5、件夹中新建一个CTR10.bdf的文件,在工程文件夹中新建一个counter8.bdf的文件。2、 编译工程,编译成功后进行下一步,若不成功则查改错误。3、 在工程文件夹中新建一个CTR10.vwf的波形文件,导入工程端口,设置输入波形,仿真得出输出端口波形。4、 验证输出端口波形是否实现8位十进制频率计的功能。 五、实验数据仿真波形如下图所示:(1)2位十进制频率计Conter8.bdf图形输入仿真波形: Conter100.v文本输入仿真波形:Tf_ctro.bdf图形输入仿真波形输入:ft_top.bdf图形输入仿真波形:(2)8位十进制频率计ft_top.bdf图形输入仿真波形六、实验小结通过本次实验熟悉在QuartusII下设计2位和8位十进制频率计,并编译、仿真验证其功能。

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1