ImageVerifierCode 换一换
格式:DOCX , 页数:10 ,大小:28.40KB ,
资源ID:5838231      下载积分:3 金币
快捷下载
登录下载
邮箱/手机:
温馨提示:
快捷下载时,用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)。 如填写123,账号就是123,密码也是123。
特别说明:
请自助下载,系统不会自动发送文件的哦; 如果您已付费,想二次下载,请登录后访问:我的下载记录
支付方式: 支付宝    微信支付   
验证码:   换一换

加入VIP,免费下载
 

温馨提示:由于个人手机设置不同,如果发现不能下载,请复制以下地址【https://www.bdocx.com/down/5838231.html】到电脑端继续下载(重复下载不扣费)。

已注册用户请登录:
账号:
密码:
验证码:   换一换
  忘记密码?
三方登录: 微信登录   QQ登录  

下载须知

1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。
2: 试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。
3: 文件的所有权益归上传用户所有。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 本站仅提供交流平台,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

版权提示 | 免责声明

本文(半导体光刻机行业研究报告.docx)为本站会员(b****6)主动上传,冰豆网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知冰豆网(发送邮件至service@bdocx.com或直接QQ联系客服),我们立即给予删除!

半导体光刻机行业研究报告.docx

1、半导体光刻机行业研究报告2020年半导体光刻机行业研究报告一、提要:光刻工艺是晶圆制造最核心环节,光刻产业链协同发展成为光刻机突破关键因子(一)光刻定义晶体管尺寸,光刻工艺合计占芯片成本近 30%2019 年全球半导体市场规模达 4090 亿美元,成为数码产业的基石。第二次工业革命就 是数码产业的革命,据麦肯锡预测,2020 年全球数码产业将占全球企业总产值的 41%,而 半导体则成为数码产业的基石。根据 WSTS 统计,2019 年全球半导体市场份额达 4090 亿 美元,其中集成电路占比达 81%,集成电路中的逻辑 IC 和存储器是推动摩尔定律发展的主 要力量,两者合计占半导体整体市场规模

2、的 52%,市场规模达 2127 亿美元。半导体产业链分为设计、制造、封测三大环节,设备成为半导体产业支柱。芯片设计主 要根据芯片的设计目的进行逻辑设计和规则制定,并根据设计图制作掩模以供后续光刻步骤 使用。芯片制造实现芯片电路图从掩模上转移至硅片上,并实现预定的芯片功能,包括光刻、 刻蚀、离子注入、薄膜沉积、化学机械研磨等步骤。芯片封测完成对芯片的封装和性能、功 能测试,是产品交付前的最后工序。半导体设备贯穿设计、制造、封测三大流程,成为半导 体产业的支柱。据 Semi 统计,2019 年全球半导体设备市场达 597.4 亿美元,设备投资占晶 圆厂整体资本支出的 70%-80%,其中用于芯片

3、制造的设备占半导体设备总支出的 81%。14nm 及以下先进制程应用广泛且不断进步,光刻、刻蚀、沉积设备成为投资重点。晶 体管线宽在 28nm 以内的称为先进制程,目前台积电、三星两家晶圆厂最先进工艺可将制程 推进到 5nm 级别,其中台积电为全球最大晶圆代工厂,全球代工市占率达 50.5%,2019 年 台积电 28nm 以内制程收入占比达 67%,其中 16nm(与三星、中芯国际 14nm 处于同一竞 争序列)及以内制程收入贡献达 50%。受益于高压驱动、图像传感器、射频等应用的需求增 加,根据 IHS Markit 统计,28 纳米制程的集成电路晶圆代工市场将保持稳定增长,预计 2024

4、 年全球 市场规模将达到 98 亿美元。14 纳米及以下更先进制程的集成电路晶圆代工市场将 保持快速增长,预计 2024 年全球市场规模将达 386 亿美元,2018 年至 2024 年的复合增长 率将达 19%。光刻、刻蚀、薄膜沉积设备三大设备成为推动 28nm 及以下先进工艺发展的主要力量, 分别占半导体晶圆处理设备的 23%、24%、18%。光刻定义了晶体管尺寸,是集成电路生产中的最核心工艺,占晶圆制造耗时的 40%-50%。光刻工艺是 IC 制造中最关键、最复杂和占用时间比最大的步骤,光刻的原理是在硅片表面 覆盖一层具有高度光敏感性光刻胶,再用光线(一般是紫外光、深紫外光、极紫外光)透

5、过 掩模照射在硅片表面,被光线照射到的光刻胶会发生化学反应。此后用特定显影液洗去被照 射/未被照射的光刻胶,就实现了电路图从掩模到硅片的转移。一般的光刻工艺要经历气相成 底膜、旋转涂胶、软烘、对准与曝光、曝光后烘培、显影、坚膜烘培、显影检查等工序,光 刻工艺占晶圆制造耗时的 40%-50%,光刻机约占晶圆制造设备投资额的 23%,考虑到光刻 工艺步骤中的光刻胶、光刻气体、光罩(光掩膜版)、涂胶显影设备等诸多配套设施和材料 投资,整个光刻工艺占芯片成本的 30%左右。(二)区别于其他晶圆制造设备,光刻机独有自身产业链概念区别于晶圆制造其他工艺,光刻机组件及配套设施复杂,形成自身产业链概念。光 刻

6、机的制造研发并不是某一个企业能够单独完成的,光刻作为晶圆制造过程中最复杂、最 重要的步骤,主要体现在光刻产业链高端复杂,需要很多顶尖的企业相互配合才可以完成。光刻产业链主要体现在两点上,一是作为光刻核心设备的光刻机组件复杂,包括光源、 镜头、激光器、工作台等组件技术往往只被全球少数几家公司掌握,二是作为与光刻机 配套的光刻胶、光刻气体、光罩(光掩膜版)等半导体材料和涂胶显影设备等同样拥有 较高的科技含量。随着制程精度提升,光刻机复杂程度提高,贯通光刻产业链成为 ASML 垄断光刻市场 的关键。摩尔定律的进步伴随着工艺与设备的双重突破,光刻设备作为推动摩尔定律的核心 设备,截止目前光刻机已经历经

7、五代发展,随着制程精度提升,自身复杂程度也在不断提高, 以 ASML 的 EUV 光刻机为例,7nm 的 EUV 光刻机内部共有 10 万个零件,重达 180 吨,包 含硅片输运分系统、硅片平台分系统、掩膜版输运分系统、系统测量与校正分系统、成像分 系统、光源分系统等 13 个系统,90%的关键设备来自外国而非荷兰本国,ASML 作为整机 公司,实质上只负责光刻机设计与集成各模块,需要全而精的上游产业链作坚实支撑。透视 ASML 的 5000 多个供应商,其中与产品相关的供应商提供直接用于生产光刻系统的材料、 设备、零部件和工具,这个类别包括 790 家供应商,占 ASML 总开支的 66%。

8、日、美配套光刻胶、光刻气体等材料和设备紧紧追随 ASML 产品迭代。由于 ASML 统 治全球高端光刻市场,众多配套设备材料和设备厂商纷纷追随 ASML 产品的技术工艺。配套 光刻气体方面,美国空气化工产品(APD)、英国林德集团均有相应布局,日本合成橡胶(JSR)、 东京应化、信越化学和富士胶片等日本企业则统治了光刻胶市场,仅有美国杜邦公司有一定 竞争力,其中东京应化已实现极紫外光刻胶量产,日本合成橡胶紫外光刻胶即将量产。配套 设备方面,光刻工序中的涂胶显影设备主要被日本东京电子、DNS、德国苏斯微和台湾亿力 鑫 ELS 占据。ASML 技术服务基地落户无锡,进一步完善自身在中国市场的产业链

9、覆盖。据 WSTS 和日本半导体设备制造装置协会统计,2019 年中国大陆半导体销售额达 1432.4 亿美元,占 全球半导体市场的 34.7%,位列全球第一;中国大陆半导体设备销售规模达 134.5 亿美元, 占全球的 22.5%,仅次于中国台湾,全球半导体产业转移正在加速向大陆转移,也使 ASML 加快了在中国的业务布局。2020 年 5 月 14 日,半导体制造设备厂商阿斯麦(ASML)与无 锡高新区举行了“阿斯麦光刻设备技术服务(无锡)基地签约仪式”,光刻设备技术服务(无 锡)基地涵盖两大业务板块:面积约 2000 余平米,拥有近 200 人规模专业团队的技术中心, 从事光刻设备的维护

10、、升级等技术服务;以及面积约 2000 余平米的供应链服务中心,为客 户提供高效的供应链服务,为设备安装,升级及生产运营等所需的物料提供更高水准的物流 支持。无锡作为国内继上海之后第二个集成电路产值破千亿的城市,集聚了华虹、SK 海力 士、长电科技、中环领先、卓胜微等半导体企业。在进一步完善中国区市场的产业链供应后, ASML 已经形成全球最全也是最强大的光刻机供应链体系。二、复盘:ASML 如何通过光刻产业链垄断全球光刻机市场浸没式技术与 EUV 光刻产业链构建成为 ASML 发展的两大里程碑事件。上世纪 50 年 代末,仙童半导体发明掩膜版曝光刻蚀技术,拉开了现代光刻机发展的大幕,在 AS

11、ML 成立 之前,光刻机光源还是以高压汞灯光源(g-line/i-line)为主,ArF、KrF 等准分子激光光源概 念刚刚被提出,光刻机工艺技术从接触式、接近式发展到步进投影式。目前 ASML 在浸没式 DUV 光刻机市占率达 97%,EUV 光刻机市占率 100%,按营收计算为全球第二大半导体设 备公司。复盘 ASML 过往 36 年发展历程,面对美、日等竞争对手,ASML 主要通过两个关 键节点成为全球霸主,分别为浸没式系统的使用和 EUV 产业链的构建。根据这两个节点, 可将 ASML 的发展分为三个过程:1)1984 年成立到 20 世纪末:凭借 PAS5500 系列在 i-line

12、、干法准分子光源光刻领域 占有一席之地;2)21 世纪初的 10 年:依靠浸没式光刻技术弯道超车,一举击溃尼康,成为全球光刻 机头号厂商;1)1984 年成立到 20 世纪末:凭借 PAS5500 系列在 i-line、干法准分子光源光刻领域 占有一席之地;2)21 世纪初的 10 年:依靠浸没式光刻技术弯道超车,一举击溃尼康,成为全球光刻 机头号厂商;3)2010 年以后,打通 EUV 产业链,推出 EUV 光刻机,成为高端光刻市场绝对垄断玩家。(一)ASML 成立之前:光刻机即将进入准分子激光时代,美国三雄 称霸光刻市场i-line 与步进投影为光刻主流技术。1960 年代,位于加州硅谷的

13、仙童半导体发明了至今 仍在使用的掩膜版光刻技术。70 年代初,Kasper 仪器公司发明接触式对齐机台,但随后接 近式光刻机台逐渐淘汰接触式机台。1973 年,拿到美国军方投资的 Perkin Elmer 公司推出 了投影式光刻系统,搭配正性光刻胶非常好用而且良率颇高,因此迅速占领了市场。1978 年,GCA 推出真正现代意义的自动化步进式光刻机(Stepper) GCA8500,分辨率比投影式高 5 倍达到 1 微米。1980 年尼康发售了自己首台商用 Stepper NSR-1010G(1.0um),拥有更 先进的光学系统(光源还是 i-line)极大提高了产能。与 GCA 的 stepp

14、er 一起统治主流市场。1982 年,IBM 的 Kanti Jain 开创性的提出准分子激光光刻(光源为 KrF 和 ArF)。美国三雄统治 1980 年之前的光刻机市场,日本佳能、尼康抓住产业转移机会接棒。美 国作为半导体技术的诞生地,自然汇集了光刻机产业早期的垄断霸主,1980 年代前的全球 光刻机市场主要被三家美国光刻机厂商 GCA、Ultratech 和 P&E 垄断。1980 年代末全球半 导体市场遭遇危机,日本的尼康和佳能抓住同时期日本半导体产业大发展的机遇,取代三家 美国光刻机厂商成为国际光刻机市场的主导者。尤其是尼康,从 80 年代后期开始市场占有 率便超过 50%,一直到

15、ASML 崛起为止;佳能则凭借对准器的优势也占领了一席之地。而 三家美国光刻机厂商 GCA、Ultratech 和 P&E 则均因为严重的财务问题而被收购或被迫转型。(二)1984-2000:PAS5500 帮助公司立足全球光刻市场ASML 成立于 1984 年,脱胎于飞利浦实验室。ASML 成立于 1984 年,由菲利普和覆 盖沉积、离子注入、封装设备的 ASMI 合资创办,主营业务来源于菲利普原本计划关停的光 刻设备业务。在 ASML 成立的 1984 年,尼康和 GCA 分别占国际光刻机市场三成,Ultratech 占约一成,Eaton、P&E、佳能、日立等均不到 5%。1988 年,A

16、SML 跟随飞利浦在台湾的 合资流片工厂台积电开拓了亚洲业务,彼时,刚刚成立不久的台积电为 ASML 送来急需的 17 台光刻机订单,使得 ASML 的国际化拓展初见成功。尽管如此,在异常激烈的市场竞争 下,初创期的 ASML 还不能完全自立,产品没有明显技术优势,客户数量屈指可数。在 1980 年代末的半导体市场危机中,由于投资巨大且短期内难以看到回报,ASML 的两大股东 ASMI 和飞利浦均有退出投资的倾向,但最后 ASMI 将股权出售给飞利浦公司,后者则继续支持 ASML 的光刻设备业务。凭借 PAS5500 系列获得突破,开拓新兴市场,与日本厂商差距缩小。1991 年,ASML 推出

17、 PAS5500 系列光刻机,这一设计超前的 8 英寸光刻机具有业界领先的生产效率和精度, 成为扭转时局的重要产品。PAS5500 为 ASML 带来台积电、三星和现代等关键客户,通过 对 PAS5500,大多数客户建立起对 ASML 产品的深厚信任,并决定几乎全部改用 ASML 的 光刻设备,到 1994 年,公司市占率已经提升至 18%。1995 年 ASML 分别在阿姆斯特丹及 纽约上市。ASML 利用 IPO 资金进一步扩大研发与生产规模,其中扩建了位于荷兰埃因霍温 的厂房,现已成为公司新总部。市场策略方面,尼康与佳能正携上位之余威,加速占领美国市场。而 ASML 则避其锋芒,将重点放

18、在新兴市场,在欧洲、中国台湾、韩国等地区攻城略 地。由于 ASML 多方面主动出击,公司获得了极大的发展。1999 年公司营收首次突破 10 亿欧元,达到 12 亿欧元;而 2000 年时营收更是翻了两倍以上,达到 27 亿欧元。(三)2001-2010:双工作台技术提升效率,先发浸没式系统打败尼康、佳能Twinscan 双工件台系统将生产效率提升 35%,精度提升 10%。在 2000 年前的光刻设 备只有一个工作台,晶圆片的对准与蚀刻流程都在上面完成。ASML 公司在 2001 年推出的 Twinscan 双工件台系统,在对一块晶圆曝光的同时测量对准另外一块晶圆,从而大大提升 了系统的生产

19、效率和精确率,并在第一时间得到结果反馈,生产效率提高大约 35%,精度提 高 10%以上。双工件台对转移速度和精度有非常高的要求,ASML 独家开发出磁悬浮工件台系统,使得系统能克服摩擦系数和阻尼系数,其加工速度和精度明显超越机械式和气浮式工 件台。双工件台技术几乎应用于 ASML 所有系列的光刻机,成为 ASML 垄断的隐形技术优 势。浸没式系统打破光源波长瓶颈。光刻设备中最初采用的干式微影技术沿用到上世纪 90 年代(镜头、光源等一直在改进),然后遇到瓶颈:始终无法将光刻光源的 193nm 波长缩短 到 157nm。为缩短光波长度,全球半导体产业精英及专家,提出了多种方案,其中包括 157

20、nm F2 激光、电子束投射(EPL)、离子投射(IPL)、EUV(13.5nm)和 X 光。但这些方案要么需要增 大投资成本,要么以当时的技术难以实现(比如极紫外(EUV)光刻)。各大厂家都只能对 干法系统进行微小升级,且均无法在市场中占据完全主导地位。2002 年,时任台积电研发 副总、世界微影技术权威林本坚博士提出了一个简单解决办法:放弃突破 157nm,退回到 技术成熟的 193nm,把透镜和硅片之间的介质从空气换成水,由于水对 193nm 光的折射率 高达 1.44,那么波长可缩短为 193/1.44=134nm,大大超过攻而不克的 157nm。ASML 率先突破浸没式系统,自此引领

21、全球光刻市场。由于尼康已经在 157nm F2激光 和电子束投射(EPL)上付出了巨大的沉没成本,因此没有采纳这一捷径。而 ASML 抓住机会, 决定与台积电合作,在 2003 年开发出了首台样机 TWINSCAN AT:1150i,成功将 90nm 制 程提升到 65nm。同期尼康宣布采用干式微影技术的 157nm 产品和电子束投射(EPL)产品 样机研制成功。但阿斯麦的产品相对于尼康的全新研发,属于改进型成熟产品,半导体芯片 厂应用成本低,设备厂商只需对现有设备做较小的改造,就能将蚀刻精度提升 1-2 代,而且 缩短光波比尼康的效果还好(多缩短 25nm)。因此,几乎没有厂商愿意选择尼康的

22、产品,尼 康溃败由此开始。在后期,尼康也选择调转方向研发浸没式光刻系统,并推出 NSR-S622D、 NSR-S631E、NSR-S635E 等产品,但半导体产业更新换代迅速,而新产品总是需要至少 1-3 年时间由前后道多家厂商通力磨合。ASML 在浸没式系统上的领先比尼康多了时间去改 善问题和提高良率。导致尼康产品可靠性始终落后于 ASML,也是从此刻,代表日本高端光 刻机的尼康逐渐败给了日后的高端光刻龙头ASML。利用浸没式系统持稳固竞争优势。2006 年,ASML 首台量产的浸入式光刻机 TWINSCAN XT:1700i 发布,该光刻机比之前最先进的干法光刻机分辨率提高了 30%,可以

23、用于 45nm 量 产。2007 年,阿斯麦配合台积电的技术方向,发布首个采用 193nm 光源的浸没式光刻系统 TWINSCAN XT:1900i,由此一举垄断市场。得益于浸没式光刻,ASML 光刻机销量占全球 销量比例从 2001 年的 25.0%上升 2010 年的 68.9%。ASML 和台积电的合作也更为紧密。反过来,选择 ASML 产品的台积电、三星、英特尔也在之后不断突破制程束缚,成为世界半 导体制造豪强。随着工艺进步,浸没式光刻的诸多缺点也被 ASML 一一解决,缺陷率和产能 都有较好改善,目前仍未主流的光刻机型之一。积极改进浸没式系统,推进制程极限至 7/5nm。到了 201

24、0 年后,制程工艺尺寸进化到 22nm,已经超越浸没式 DUV 的蚀刻精度。在 EUV 技术取得应用突破之前,包括 ASML 在 内的相关企业也在积极改进浸没式光刻系统。从设备、工艺和器件方面多管齐下,开发出高 NA 镜头、多光罩、FinFET、两次曝光、Pitch-split、波段灵敏光刻胶等技术。目前,对于 ASNL 最先进的浸没式光刻机 Twinscan NXT: 2000i,在各种先进工艺与材料的配合下,制 程极限已达 7/5nm。这使得浸没式光刻系统在 EUV 面世前得以继续延续摩尔定律,并促进 ASML 进一步拉开与尼康、佳能的差距。中国首台 Twinscan NXT: 2000i

25、 已于 2018 年 12 月正式搬入 SK 海力士位于无锡的工厂。(四)2010-至今:打通 EUV 光刻产业链,成为全球 EUV 光刻机独家供应商13.5nm 引领下一代光源,新技术面临巨大挑战。下一代 EUV 光刻系统采用波长为 13.5nm的极紫外光作为曝光光源,是之前193nm的1/14。该光源被称为激光等离子体光源, 是通过用高功率二氧化碳激光器激发锡(Sn)金属液滴,通过高价 Sn 离子能级间的跃迁获 得 13.5nm 波长的辐射。除上文所述问题外,该光源的稳定性和聚光元件的保护也是巨大的 挑战,因为用于激发的激光器本身存在抖动,激光与等离子体作用时产生的污染将会对光源 聚光元件

26、造成影响和破坏。EUV 光源的技术基本只掌握在美国 Cymer 公司手中。EUV 光刻机顶级科学与顶级制造的结合。EUV 波长只有 13.5nm,穿透物体时散射 吸收强度较大,这使得光刻机的光源功率要求极高,此外机器内部需是真空环境,避免空气 对 EUV 的吸收,透镜和反射镜系统也极致精密,配套的抗蚀剂和防护膜的良品率也需要更 先进技术去提升,一台 EUV 光刻机重达 180 吨,超过 10 万个零件,需要 40 个集装箱运输, 安装调试都要超过一年时间。总之,EUV 光刻机几乎逼近物理学、材料学以及精密制造的极 限。所以 EUV 不仅是顶级科学的研究,也是顶级精密制造的学问。2010 年首发

27、 EUV 光刻机,目前成为全球唯一一家 EUV 光刻机供应商。2010 年,ASML 首次发售概念性的 EUV 光刻系统 NXW:3100,从而开启光刻系统的新时代。2013 年,ASML 发售第二代 EUV 系统 NXE:3300B,但是精度与效率不具备 10nm 以下制程的生产效益;2015 年又推出第三代 EUV 系统 NXE:3350。2016 年,第一批面向制造的 EUV 系统 NXE:3400B 开始批量发售,NXE:3400B 的光学与机电系统的技术有所突破,极紫外光源的波长缩短至 13nm,每小时处理晶圆 125 片,或每天可 1500 片;连续 4 周的平均生产良率可达 80

28、%, 兼具高生产率与高精度。2019 年推出的 NXE:3400C 更是将产能提高到每小时处理晶圆 175 片。目前,ASML 在售的 EUV 光刻机包括 NXE:3300B 和 NXE:3400C 两种机型。EUV 成功来源于 ASML 光刻机上游产业链的贯通。在 EUV 光刻机超过 10 万个零件之 中,来自硅谷光科集团的微激光系统、德国蔡司的镜头和 Cymer 的 EUV 光源是最重要的三 环。1997 年英特尔牵头创办了 EUV LLC 联盟,随后 ASML 作为惟一的光刻设备生产商加入 联盟,共享研究成果;2000 年,ASML 收购了美国光刻机巨头 SVGL(硅谷光刻集团);201

29、2 年 ASML 收购 EUV 光源提供商 Cymer,此前 Cymer 就和 ASML 合作已久;2016 年 ASML 公司取得光学镜片龙头德国蔡司 24.9%的股份,以加快推进更大数值孔径(NA)的 EUV 光 学系统。这些收购使得 ASML 几乎参与了整个 EUV 光刻上游产业链。但收购美国企业的过 程使 ASML 必须同意在美国建立一所工厂和一个研发中心,以此满足所有美国本土的产能 需求,另外,还需要保证 55%的零部件均从美国供应商处采购,并接受定期审查,这也为日 后 ASML 向中国出口光刻机受到美国管制埋下伏笔。EUV 设备在下游市场供不应求。由于上游零部件供应不足(如蔡司的镜

30、头),ASML 的 EUV 光刻机产量一直不高,而下游市场对 7nm 制程的需求却十分旺盛。2011 年英特尔、三 星和台积电共同收购 ASML 23%的股权,帮助 ASML 提升研发预算,同时也享受 EUV 光刻 机的优先供应权。近年来,ASML 已经出货的 EUV 光刻机主要优先供应给台积电、三星、 英特尔等有紧密合作关系的下游厂商。目前所有中国企业中,只有中芯国际向 ASML 订购了 一台 EUV 光刻机,原计划于 2019 年交付,但由于 2018 年底 ASML 的元件供应商 Prodrive工厂的部分库存、生产线被火灾摧毁,再加上 2020 年疫情原因,直到现在 ASML 的 EU

31、V 设备还未向中芯国际交付。目前预计这批设备最快在 2020 年底前完成装机。ASML 光刻机已经覆盖 EUV 销量、价格节节攀升。自 2010 年第一台 EUV 光刻机面世 起,ASML 的 EUV 光刻机出货量呈增长趋势,尤其是 2017 年开始大幅增加产能,到 2019 年已经实现年出货量 26 台。而如上文所述,EUV 十分复杂的结构与系统使得其单价也逐年 攀升,2019 年 ASMLEUV 光刻机小猴 26 台,占光刻机销售量的 11.4%,销售金额达 30 亿 欧元,占光刻机销售金额的 33.6%。EUV 光刻机单价更是达到了惊人的 1.15 亿欧元/台,约 合 1.3 亿美元,9

32、.2 亿人民币,是浸没式光刻机价格的两倍。三、探寻:02 专项加码关键技术突破,本土光刻产业链构建正当时瓦森纳协定管制国内光刻机及原件进口,02 专项打造本土化光刻产业链。上海微 电子是国内高端晶圆制造光刻机希望,其产品最先进制程已达 90nm,产品在 OLED、LED 和后道封装市场有较高市占率。由于瓦森纳协定的限制,上海微电子很难从国外进口用 于生产高端光刻机的部件,因此只能依靠国内相关企业的研发进展。为强化国内半导体产业 链自主研发能力,国务院于“十二五”规划期间推出“极大规模集成电路制造装备及成套工 艺”重大专项,简称“02 专项”,旨在突破集成电路制造装备、材料、工艺、封测等核心技 术,形成完整的产业链,具备国际竞争力。上海微电子的 90nm 制程光刻机正是通过承担“02 专项”的“90nm 光刻机样机研制”项目,于 2018 年 3 月面世。在“02 专项”的大力支持 下,已经有一些国内企业在光刻产业链的部分领域达到或接近国际先进水平,可能成为上海 微电子下一代浸没式光刻机的潜在供应商。(一)光刻机组件:“02 专项”强化国产物镜、光源、浸没式系统等 高端光刻组件1、国科精密:承担光刻机“心脏”建设,浸没式曝光系统已通过“02 专 项”验收。2、科益虹源:预计 2020 年协助整机单位完成 28nm 浸没式。3、启尔机电:全球第三家拥有光刻机浸没式系统研发能力公司

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1