半导体光刻机行业研究报告.docx

上传人:b****6 文档编号:5838231 上传时间:2023-01-01 格式:DOCX 页数:10 大小:28.40KB
下载 相关 举报
半导体光刻机行业研究报告.docx_第1页
第1页 / 共10页
半导体光刻机行业研究报告.docx_第2页
第2页 / 共10页
半导体光刻机行业研究报告.docx_第3页
第3页 / 共10页
半导体光刻机行业研究报告.docx_第4页
第4页 / 共10页
半导体光刻机行业研究报告.docx_第5页
第5页 / 共10页
点击查看更多>>
下载资源
资源描述

半导体光刻机行业研究报告.docx

《半导体光刻机行业研究报告.docx》由会员分享,可在线阅读,更多相关《半导体光刻机行业研究报告.docx(10页珍藏版)》请在冰豆网上搜索。

半导体光刻机行业研究报告.docx

半导体光刻机行业研究报告

2020年半导体光刻机行业研究报告

一、提要:

光刻工艺是晶圆制造最核心环节,光刻产业链协同发展成为光刻机突破关键因子

(一)光刻定义晶体管尺寸,光刻工艺合计占芯片成本近30% 

2019年全球半导体市场规模达4090亿美元,成为数码产业的基石。

第二次工业革命就是数码产业的革命,据麦肯锡预测,2020年全球数码产业将占全球企业总产值的41%,而半导体则成为数码产业的基石。

根据WSTS统计,2019年全球半导体市场份额达4090亿美元,其中集成电路占比达81%,集成电路中的逻辑IC和存储器是推动摩尔定律发展的主要力量,两者合计占半导体整体市场规模的52%,市场规模达2127亿美元。

半导体产业链分为设计、制造、封测三大环节,设备成为半导体产业支柱。

芯片设计主要根据芯片的设计目的进行逻辑设计和规则制定,并根据设计图制作掩模以供后续光刻步骤使用。

芯片制造实现芯片电路图从掩模上转移至硅片上,并实现预定的芯片功能,包括光刻、刻蚀、离子注入、薄膜沉积、化学机械研磨等步骤。

芯片封测完成对芯片的封装和性能、功能测试,是产品交付前的最后工序。

半导体设备贯穿设计、制造、封测三大流程,成为半导体产业的支柱。

据Semi统计,2019年全球半导体设备市场达597.4亿美元,设备投资占晶圆厂整体资本支出的70%-80%,其中用于芯片制造的设备占半导体设备总支出的81%。

14nm及以下先进制程应用广泛且不断进步,光刻、刻蚀、沉积设备成为投资重点。

晶体管线宽在28nm以内的称为先进制程,目前台积电、三星两家晶圆厂最先进工艺可将制程推进到5nm级别,其中台积电为全球最大晶圆代工厂,全球代工市占率达50.5%,2019年台积电28nm以内制程收入占比达67%,其中16nm(与三星、中芯国际14nm处于同一竞争序列)及以内制程收入贡献达50%。

受益于高压驱动、图像传感器、射频等应用的需求增加,根据IHSMarkit统计,28纳米制程的集成电路晶圆代工市场将保持稳定增长,预计2024年全球市场规模将达到98亿美元。

14纳米及以下更先进制程的集成电路晶圆代工市场将保持快速增长,预计2024年全球市场规模将达386亿美元,2018年至2024年的复合增长率将达19%。

光刻、刻蚀、薄膜沉积设备三大设备成为推动28nm及以下先进工艺发展的主要力量,分别占半导体晶圆处理设备的23%、24%、18%。

 

光刻定义了晶体管尺寸,是集成电路生产中的最核心工艺,占晶圆制造耗时的40%-50%。

 光刻工艺是IC制造中最关键、最复杂和占用时间比最大的步骤,光刻的原理是在硅片表面覆盖一层具有高度光敏感性光刻胶,再用光线(一般是紫外光、深紫外光、极紫外光)透过掩模照射在硅片表面,被光线照射到的光刻胶会发生化学反应。

此后用特定显影液洗去被照射/未被照射的光刻胶,就实现了电路图从掩模到硅片的转移。

一般的光刻工艺要经历气相成底膜、旋转涂胶、软烘、对准与曝光、曝光后烘培、显影、坚膜烘培、显影检查等工序,光刻工艺占晶圆制造耗时的40%-50%,光刻机约占晶圆制造设备投资额的23%,考虑到光刻工艺步骤中的光刻胶、光刻气体、光罩(光掩膜版)、涂胶显影设备等诸多配套设施和材料投资,整个光刻工艺占芯片成本的30%左右。

(二)区别于其他晶圆制造设备,光刻机独有自身产业链概念 

区别于晶圆制造其他工艺,光刻机组件及配套设施复杂,形成自身产业链概念。

光刻机的制造研发并不是某一个企业能够单独完成的,光刻作为晶圆制造过程中最复杂、最重要的步骤,主要体现在光刻产业链高端复杂,需要很多顶尖的企业相互配合才可以完成。

光刻产业链主要体现在两点上,一是作为光刻核心设备的光刻机组件复杂,包括光源、镜头、激光器、工作台等组件技术往往只被全球少数几家公司掌握,二是作为与光刻机配套的光刻胶、光刻气体、光罩(光掩膜版)等半导体材料和涂胶显影设备等同样拥有较高的科技含量。

随着制程精度提升,光刻机复杂程度提高,贯通光刻产业链成为ASML垄断光刻市场的关键。

摩尔定律的进步伴随着工艺与设备的双重突破,光刻设备作为推动摩尔定律的核心设备,截止目前光刻机已经历经五代发展,随着制程精度提升,自身复杂程度也在不断提高,以ASML的EUV光刻机为例,7nm的EUV光刻机内部共有10万个零件,重达180吨,包含硅片输运分系统、硅片平台分系统、掩膜版输运分系统、系统测量与校正分系统、成像分系统、光源分系统等13个系统,90%的关键设备来自外国而非荷兰本国,ASML作为整机公司,实质上只负责光刻机设计与集成各模块,需要全而精的上游产业链作坚实支撑。

透视ASML的5000多个供应商,其中与产品相关的供应商提供直接用于生产光刻系统的材料、设备、零部件和工具,这个类别包括790家供应商,占ASML总开支的66%。

日、美配套光刻胶、光刻气体等材料和设备紧紧追随ASML产品迭代。

由于ASML统治全球高端光刻市场,众多配套设备材料和设备厂商纷纷追随ASML产品的技术工艺。

配套光刻气体方面,美国空气化工产品(APD)、英国林德集团均有相应布局,日本合成橡胶(JSR)、东京应化、信越化学和富士胶片等日本企业则统治了光刻胶市场,仅有美国杜邦公司有一定竞争力,其中东京应化已实现极紫外光刻胶量产,日本合成橡胶紫外光刻胶即将量产。

配套设备方面,光刻工序中的涂胶显影设备主要被日本东京电子、DNS、德国苏斯微和台湾亿力鑫ELS占据。

ASML技术服务基地落户无锡,进一步完善自身在中国市场的产业链覆盖。

据WSTS和日本半导体设备制造装置协会统计,2019年中国大陆半导体销售额达1432.4亿美元,占全球半导体市场的34.7%,位列全球第一;中国大陆半导体设备销售规模达134.5亿美元,占全球的22.5%,仅次于中国台湾,全球半导体产业转移正在加速向大陆转移,也使ASML加快了在中国的业务布局。

2020年5月14日,半导体制造设备厂商阿斯麦(ASML)与无锡高新区举行了“阿斯麦光刻设备技术服务(无锡)基地签约仪式”,光刻设备技术服务(无锡)基地涵盖两大业务板块:

面积约2000余平米,拥有近200人规模专业团队的技术中心,从事光刻设备的维护、升级等技术服务;以及面积约2000余平米的供应链服务中心,为客户提供高效的供应链服务,为设备安装,升级及生产运营等所需的物料提供更高水准的物流支持。

无锡作为国内继上海之后第二个集成电路产值破千亿的城市,集聚了华虹、SK海力士、长电科技、中环领先、卓胜微等半导体企业。

在进一步完善中国区市场的产业链供应后,ASML已经形成全球最全也是最强大的光刻机供应链体系。

二、复盘:

ASML如何通过光刻产业链垄断全球光刻机市场

浸没式技术与EUV光刻产业链构建成为ASML发展的两大里程碑事件。

上世纪50年代末,仙童半导体发明掩膜版曝光刻蚀技术,拉开了现代光刻机发展的大幕,在ASML成立之前,光刻机光源还是以高压汞灯光源(g-line/i-line)为主,ArF、KrF等准分子激光光源概念刚刚被提出,光刻机工艺技术从接触式、接近式发展到步进投影式。

目前ASML在浸没式DUV光刻机市占率达97%,EUV光刻机市占率100%,按营收计算为全球第二大半导体设备公司。

复盘ASML过往36年发展历程,面对美、日等竞争对手,ASML主要通过两个关键节点成为全球霸主,分别为浸没式系统的使用和EUV产业链的构建。

根据这两个节点,可将ASML的发展分为三个过程:

1)1984年成立到20世纪末:

凭借PAS5500系列在i-line、干法准分子光源光刻领域占有一席之地;2)21世纪初的10年:

依靠浸没式光刻技术弯道超车,一举击溃尼康,成为全球光刻机头号厂商;

1)1984年成立到20世纪末:

凭借PAS5500系列在i-line、干法准分子光源光刻领域占有一席之地; 

2)21世纪初的10年:

依靠浸没式光刻技术弯道超车,一举击溃尼康,成为全球光刻机头号厂商; 

3)2010年以后,打通EUV产业链,推出EUV光刻机,成为高端光刻市场绝对垄断玩家。

 

(一)ASML成立之前:

光刻机即将进入准分子激光时代,美国三雄称霸光刻市场 

i-line与步进投影为光刻主流技术。

1960年代,位于加州硅谷的仙童半导体发明了至今仍在使用的掩膜版光刻技术。

70年代初,Kasper仪器公司发明接触式对齐机台,但随后接近式光刻机台逐渐淘汰接触式机台。

1973年,拿到美国军方投资的PerkinElmer公司推出了投影式光刻系统,搭配正性光刻胶非常好用而且良率颇高,因此迅速占领了市场。

1978年,GCA推出真正现代意义的自动化步进式光刻机(Stepper)GCA8500,分辨率比投影式高5倍达到1微米。

1980年尼康发售了自己首台商用StepperNSR-1010G(1.0um),拥有更先进的光学系统(光源还是i-line)极大提高了产能。

与GCA的stepper一起统治主流市场。

1982年,IBM的KantiJain开创性的提出准分子激光光刻(光源为KrF和ArF)。

美国三雄统治1980年之前的光刻机市场,日本佳能、尼康抓住产业转移机会接棒。

美国作为半导体技术的诞生地,自然汇集了光刻机产业早期的垄断霸主,1980年代前的全球光刻机市场主要被三家美国光刻机厂商GCA、Ultratech和P&E垄断。

1980年代末全球半导体市场遭遇危机,日本的尼康和佳能抓住同时期日本半导体产业大发展的机遇,取代三家美国光刻机厂商成为国际光刻机市场的主导者。

尤其是尼康,从80年代后期开始市场占有率便超过50%,一直到ASML崛起为止;佳能则凭借对准器的优势也占领了一席之地。

而三家美国光刻机厂商GCA、Ultratech和P&E则均因为严重的财务问题而被收购或被迫转型。

(二)1984-2000:

PAS5500帮助公司立足全球光刻市场 

ASML成立于1984年,脱胎于飞利浦实验室。

ASML成立于1984年,由菲利普和覆盖沉积、离子注入、封装设备的ASMI合资创办,主营业务来源于菲利普原本计划关停的光刻设备业务。

在ASML成立的1984年,尼康和GCA分别占国际光刻机市场三成,Ultratech占约一成,Eaton、P&E、佳能、日立等均不到5%。

1988年,ASML跟随飞利浦在台湾的合资流片工厂台积电开拓了亚洲业务,彼时,刚刚成立不久的台积电为ASML送来急需的17台光刻机订单,使得ASML的国际化拓展初见成功。

尽管如此,在异常激烈的市场竞争下,初创期的ASML还不能完全自立,产品没有明显技术优势,客户数量屈指可数。

在1980年代末的半导体市场危机中,由于投资巨大且短期内难以看到回报,ASML的两大股东ASMI和飞利浦均有退出投资的倾向,但最后ASMI将股权出售给飞利浦公司,后者则继续支持ASML的光刻设备业务。

凭借PAS5500系列获得突破,开拓新兴市场,与日本厂商差距缩小。

1991年,ASML推出PAS5500系列光刻机,这一设计超前的8英寸光刻机具有业界领先的生产效率和精度,成为扭转时局的重要产品。

PAS5500为ASML带来台积电、三星和现代等关键客户,通过对PAS5500,大多数客户建立起对ASML产品的深厚信任,并决定几乎全部改用ASML的光刻设备,到1994年,公司市占率已经提升至18%。

1995年ASML分别在阿姆斯特丹及纽约上市。

ASML利用IPO资金进一步扩大研发与生产规模,其中扩建了位于荷兰埃因霍温的厂房,现已成为公司新总部。

市场策略方面,尼康与佳能正携上位之余威,加速占领美国市场。

而ASML则避其锋芒,将重点放在新兴市场,在欧洲、中国台湾、韩国等地区攻城略地。

由于ASML多方面主动出击,公司获得了极大的发展。

1999年公司营收首次突破10亿欧元,达到12亿欧元;而2000年时营收更是翻了两倍以上,达到27亿欧元。

(三)2001-2010:

双工作台技术提升效率,先发浸没式系统打败尼康、佳能 

Twinscan双工件台系统将生产效率提升35%,精度提升10%。

在2000年前的光刻设备只有一个工作台,晶圆片的对准与蚀刻流程都在上面完成。

ASML公司在2001年推出的Twinscan双工件台系统,在对一块晶圆曝光的同时测量对准另外一块晶圆,从而大大提升了系统的生产效率和精确率,并在第一时间得到结果反馈,生产效率提高大约35%,精度提高10%以上。

双工件台对转移速度和精度有非常高的要求,ASML独家开发出磁悬浮工件台系统,使得系统能克服摩擦系数和阻尼系数,其加工速度和精度明显超越机械式和气浮式工件台。

双工件台技术几乎应用于ASML所有系列的光刻机,成为ASML垄断的隐形技术优势。

浸没式系统打破光源波长瓶颈。

光刻设备中最初采用的干式微影技术沿用到上世纪90年代(镜头、光源等一直在改进),然后遇到瓶颈:

始终无法将光刻光源的193nm波长缩短到157nm。

为缩短光波长度,全球半导体产业精英及专家,提出了多种方案,其中包括157nmF2激光、电子束投射(EPL)、离子投射(IPL)、EUV(13.5nm)和X光。

但这些方案要么需要增大投资成本,要么以当时的技术难以实现(比如极紫外(EUV)光刻)。

各大厂家都只能对干法系统进行微小升级,且均无法在市场中占据完全主导地位。

2002年,时任台积电研发副总、世界微影技术权威林本坚博士提出了一个简单解决办法:

放弃突破157nm,退回到技术成熟的193nm,把透镜和硅片之间的介质从空气换成水,由于水对193nm光的折射率高达1.44,那么波长可缩短为193/1.44=134nm,大大超过攻而不克的157nm。

ASML率先突破浸没式系统,自此引领全球光刻市场。

由于尼康已经在157nmF2激光和电子束投射(EPL)上付出了巨大的沉没成本,因此没有采纳这一捷径。

而ASML抓住机会,决定与台积电合作,在2003年开发出了首台样机TWINSCANAT:

1150i,成功将90nm制程提升到65nm。

同期尼康宣布采用干式微影技术的157nm产品和电子束投射(EPL)产品样机研制成功。

但阿斯麦的产品相对于尼康的全新研发,属于改进型成熟产品,半导体芯片厂应用成本低,设备厂商只需对现有设备做较小的改造,就能将蚀刻精度提升1-2代,而且缩短光波比尼康的效果还好(多缩短25nm)。

因此,几乎没有厂商愿意选择尼康的产品,尼康溃败由此开始。

在后期,尼康也选择调转方向研发浸没式光刻系统,并推出NSR-S622D、NSR-S631E、NSR-S635E等产品,但半导体产业更新换代迅速,而新产品总是需要至少1-3年时间由前后道多家厂商通力磨合。

ASML在浸没式系统上的领先比尼康多了时间去改善问题和提高良率。

导致尼康产品可靠性始终落后于ASML,也是从此刻,代表日本高端光刻机的尼康逐渐败给了日后的高端光刻龙头ASML。

利用浸没式系统持稳固竞争优势。

2006年,ASML首台量产的浸入式光刻机TWINSCANXT:

1700i发布,该光刻机比之前最先进的干法光刻机分辨率提高了30%,可以用于45nm量产。

2007年,阿斯麦配合台积电的技术方向,发布首个采用193nm光源的浸没式光刻系统TWINSCANXT:

1900i,由此一举垄断市场。

得益于浸没式光刻,ASML光刻机销量占全球销量比例从2001年的25.0%上升2010年的68.9%。

ASML和台积电的合作也更为紧密。

反过来,选择ASML产品的台积电、三星、英特尔也在之后不断突破制程束缚,成为世界半导体制造豪强。

随着工艺进步,浸没式光刻的诸多缺点也被ASML一一解决,缺陷率和产能都有较好改善,目前仍未主流的光刻机型之一。

积极改进浸没式系统,推进制程极限至7/5nm。

到了2010年后,制程工艺尺寸进化到22nm,已经超越浸没式DUV的蚀刻精度。

在EUV技术取得应用突破之前,包括ASML在内的相关企业也在积极改进浸没式光刻系统。

从设备、工艺和器件方面多管齐下,开发出高NA镜头、多光罩、FinFET、两次曝光、Pitch-split、波段灵敏光刻胶等技术。

目前,对于ASNL最先进的浸没式光刻机TwinscanNXT:

2000i,在各种先进工艺与材料的配合下,制程极限已达7/5nm。

这使得浸没式光刻系统在EUV面世前得以继续延续摩尔定律,并促进ASML进一步拉开与尼康、佳能的差距。

中国首台TwinscanNXT:

2000i已于2018年12月正式搬入SK海力士位于无锡的工厂。

(四)2010-至今:

打通EUV光刻产业链,成为全球EUV光刻机独家供应商 

13.5nm引领下一代光源,新技术面临巨大挑战。

下一代EUV光刻系统采用波长为13.5nm的极紫外光作为曝光光源,是之前193nm的1/14。

该光源被称为激光等离子体光源,是通过用高功率二氧化碳激光器激发锡(Sn)金属液滴,通过高价Sn离子能级间的跃迁获得13.5nm波长的辐射。

除上文所述问题外,该光源的稳定性和聚光元件的保护也是巨大的挑战,因为用于激发的激光器本身存在抖动,激光与等离子体作用时产生的污染将会对光源聚光元件造成影响和破坏。

EUV光源的技术基本只掌握在美国Cymer公司手中。

EUV光刻机——顶级科学与顶级制造的结合。

EUV波长只有13.5nm,穿透物体时散射吸收强度较大,这使得光刻机的光源功率要求极高,此外机器内部需是真空环境,避免空气对EUV的吸收,透镜和反射镜系统也极致精密,配套的抗蚀剂和防护膜的良品率也需要更先进技术去提升,一台EUV光刻机重达180吨,超过10万个零件,需要40个集装箱运输,安装调试都要超过一年时间。

总之,EUV光刻机几乎逼近物理学、材料学以及精密制造的极限。

所以EUV不仅是顶级科学的研究,也是顶级精密制造的学问。

2010年首发EUV光刻机,目前成为全球唯一一家EUV光刻机供应商。

2010年,ASML首次发售概念性的EUV光刻系统NXW:

3100,从而开启光刻系统的新时代。

2013年,ASML发售第二代EUV系统NXE:

3300B,但是精度与效率不具备10nm以下制程的生产效益;2015年又推出第三代EUV系统NXE:

3350。

2016年,第一批面向制造的EUV系统NXE:

3400B开始批量发售,NXE:

3400B的光学与机电系统的技术有所突破,极紫外光源的波长缩短至13nm,每小时处理晶圆125片,或每天可1500片;连续4周的平均生产良率可达80%,兼具高生产率与高精度。

2019年推出的NXE:

3400C更是将产能提高到每小时处理晶圆175片。

目前,ASML在售的EUV光刻机包括NXE:

3300B和NXE:

3400C两种机型。

EUV成功来源于ASML光刻机上游产业链的贯通。

在EUV光刻机超过10万个零件之中,来自硅谷光科集团的微激光系统、德国蔡司的镜头和Cymer的EUV光源是最重要的三环。

1997年英特尔牵头创办了EUVLLC联盟,随后ASML作为惟一的光刻设备生产商加入联盟,共享研究成果;2000年,ASML收购了美国光刻机巨头SVGL(硅谷光刻集团);2012年ASML收购EUV光源提供商Cymer,此前Cymer就和ASML合作已久;2016年ASML公司取得光学镜片龙头德国蔡司24.9%的股份,以加快推进更大数值孔径(NA)的EUV光学系统。

这些收购使得ASML几乎参与了整个EUV光刻上游产业链。

但收购美国企业的过程使ASML必须同意在美国建立一所工厂和一个研发中心,以此满足所有美国本土的产能需求,另外,还需要保证55%的零部件均从美国供应商处采购,并接受定期审查,这也为日后ASML向中国出口光刻机受到美国管制埋下伏笔。

EUV设备在下游市场供不应求。

由于上游零部件供应不足(如蔡司的镜头),ASML的EUV光刻机产量一直不高,而下游市场对7nm制程的需求却十分旺盛。

2011年英特尔、三星和台积电共同收购ASML23%的股权,帮助ASML提升研发预算,同时也享受EUV光刻机的优先供应权。

近年来,ASML已经出货的EUV光刻机主要优先供应给台积电、三星、英特尔等有紧密合作关系的下游厂商。

目前所有中国企业中,只有中芯国际向ASML订购了一台EUV光刻机,原计划于2019年交付,但由于2018年底ASML的元件供应商Prodrive工厂的部分库存、生产线被火灾摧毁,再加上2020年疫情原因,直到现在ASML的EUV设备还未向中芯国际交付。

目前预计这批设备最快在2020年底前完成装机。

ASML光刻机已经覆盖EUV销量、价格节节攀升。

自2010年第一台EUV光刻机面世起,ASML的EUV光刻机出货量呈增长趋势,尤其是2017年开始大幅增加产能,到2019年已经实现年出货量26台。

而如上文所述,EUV十分复杂的结构与系统使得其单价也逐年攀升,2019年ASMLEUV光刻机小猴26台,占光刻机销售量的11.4%,销售金额达30亿欧元,占光刻机销售金额的33.6%。

EUV光刻机单价更是达到了惊人的1.15亿欧元/台,约合1.3亿美元,9.2亿人民币,是浸没式光刻机价格的两倍。

三、探寻:

02专项加码关键技术突破,本土光刻产业链构建正当时

《瓦森纳协定》管制国内光刻机及原件进口,02专项打造本土化光刻产业链。

上海微电子是国内高端晶圆制造光刻机希望,其产品最先进制程已达90nm,产品在OLED、LED和后道封装市场有较高市占率。

由于《瓦森纳协定》的限制,上海微电子很难从国外进口用于生产高端光刻机的部件,因此只能依靠国内相关企业的研发进展。

为强化国内半导体产业链自主研发能力,国务院于“十二五”规划期间推出“极大规模集成电路制造装备及成套工艺”重大专项,简称“02专项”,旨在突破集成电路制造装备、材料、工艺、封测等核心技术,形成完整的产业链,具备国际竞争力。

上海微电子的90nm制程光刻机正是通过承担“02专项”的“90nm光刻机样机研制”项目,于2018年3月面世。

在“02专项”的大力支持下,已经有一些国内企业在光刻产业链的部分领域达到或接近国际先进水平,可能成为上海微电子下一代浸没式光刻机的潜在供应商。

(一)光刻机组件:

“02专项”强化国产物镜、光源、浸没式系统等高端光刻组件

1、国科精密:

承担光刻机“心脏”建设,浸没式曝光系统已通过“02专项”验收。

2、科益虹源:

预计2020年协助整机单位完成28nm浸没式。

3、启尔机电:

全球第三家拥有光刻机浸没式系统研发能力公司

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 经管营销

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1