ImageVerifierCode 换一换
格式:DOCX , 页数:11 ,大小:300.88KB ,
资源ID:5526434      下载积分:3 金币
快捷下载
登录下载
邮箱/手机:
温馨提示:
快捷下载时,用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)。 如填写123,账号就是123,密码也是123。
特别说明:
请自助下载,系统不会自动发送文件的哦; 如果您已付费,想二次下载,请登录后访问:我的下载记录
支付方式: 支付宝    微信支付   
验证码:   换一换

加入VIP,免费下载
 

温馨提示:由于个人手机设置不同,如果发现不能下载,请复制以下地址【https://www.bdocx.com/down/5526434.html】到电脑端继续下载(重复下载不扣费)。

已注册用户请登录:
账号:
密码:
验证码:   换一换
  忘记密码?
三方登录: 微信登录   QQ登录  

下载须知

1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。
2: 试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。
3: 文件的所有权益归上传用户所有。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 本站仅提供交流平台,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

版权提示 | 免责声明

本文(实验一用VHDL语言设计组合逻辑电路.docx)为本站会员(b****3)主动上传,冰豆网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知冰豆网(发送邮件至service@bdocx.com或直接QQ联系客服),我们立即给予删除!

实验一用VHDL语言设计组合逻辑电路.docx

1、实验一用VHDL语言设计组合逻辑电路实验一 用VHDL语言设计组合逻辑电路 一、实验目的:掌握用VHDL语言设计组合逻辑电路的方法。熟悉Quartus的操作。 二、实验仪器:PC机一台三、实验内容: 1.用VHDL语言设计4选1数据选择器。2.用文本输入法输入3.建立工程,编译,改错,直至编译通过4.仿真,验证所设计电路的正确性四、操作步骤:1、在所使用的计算机数据盘里建立自己的文件夹2、打开QuartusII3、单击工具栏中的new,在出现的对话框中选择VHDL File,点击OK。4、输入设计程序。输入结束后,将程序保存在自己的文件夹中。注意:存盘的文件名应该跟实体名相同。5、创建工程。创

2、建工程有两种方法:第一种方法是在保存文件后出现的对话框中点击是,按提示进行操作;6、7、8、9、10、第二种方法是在出现的对话框点击否。11、12、第一种方法的具体过程:13、点击是,出项下面的框14、15、点击Next,出项16、17、不需要任何修改,继续点击Next,出项18、19、继续点击Next,在后面出现的框图中继续点击Next,直到没有Next选项,点击Finish,这样就完成工程的创建。第二种方法的具体过程:点击否此时要创建工程,点击菜单File下的 “New Preject Wizard”。出现框图:点击Next,出项点击最上一行右边的,寻找你的文件所在的文件夹,点击要创建工程

3、的文件名,点击打开(或双击要创建工程的文件名),出现项目名称和文件名称相同。点击Next,出现点击,在出现的对话框点击文件名,点击打开,出现点击右边的add,出现点击Next,在后面出现的框图中继续点击Next,直到没有Next选项,点击Finish,这样就完成工程的创建。20、观察QUARTUS 界面21、22、23、点击箭头所指图标,观察箭头上方的变化,点击+号,双击出项的文件24、25、全程编译。26、27、点击箭头所指图标,开始全程编译。如果有错误,编译会自动停止,出现28、29、点击确定,按提示到文件中修改错误,保存文件,继续点击全程编译的图标,如还有错误,继续上面的步骤。如没有错误

4、,会自动完成编译30、点击确定。31、时序仿真从仿真结果说明电路的功能。单击new,在出现的对话框中选择第二个标签“Other Files”,选择Vector Waveform File,点击OK。 选择菜单View下“Utility Windows”中的“Node Finder”,出现“Node Finder”对话框。 “Node Finder”对话框中,上面中间的“Filter”右边下拉列表框中选择“Pins:all”,点击“list”,将出现的端口信息按需要拖入波形窗口。 设置输入波形,保存波形文件。如需要修改仿真时间,点击Edit菜单下End Time。保存波形文件。时序仿真。仔细读仿

5、真报告,判断电路的正确性;如不正确,修改程序,重新编译,仿真,直至设计的电路完全正确。时序仿真可参照教科书P100。关闭工程,准备下一个实验内容。点击菜单File下的close project,关闭当前工程。 如需要打开工程,点击菜单File下的open project,选择文件夹,选择要打开的工程名,点击打开。 五、思考题:用VHDL语言编写8位奇偶校验电路。当8位中有奇数个1时输出为1。六、实验报告要求: 写出正确的程序,并简要说明实验中遇到的问题及解决方法。Library ieee;Use mux41a is port(s:in std_logic_vector(1 downto 0); d0,d1,d2,d3:in std_logic; y:out std_logic);End mux41a ;Architecture ab of mux41a isBegin y=d0 when s=“00” else d1 when s=“01” else d2 when s=“10” else d3; End ab;

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1