ImageVerifierCode 换一换
格式:DOCX , 页数:24 ,大小:1.93MB ,
资源ID:5336437      下载积分:2 金币
快捷下载
登录下载
邮箱/手机:
温馨提示:
快捷下载时,用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)。 如填写123,账号就是123,密码也是123。
特别说明:
请自助下载,系统不会自动发送文件的哦; 如果您已付费,想二次下载,请登录后访问:我的下载记录
支付方式: 支付宝    微信支付   
验证码:   换一换

加入VIP,免费下载
 

温馨提示:由于个人手机设置不同,如果发现不能下载,请复制以下地址【https://www.bdocx.com/down/5336437.html】到电脑端继续下载(重复下载不扣费)。

已注册用户请登录:
账号:
密码:
验证码:   换一换
  忘记密码?
三方登录: 微信登录   QQ登录  

下载须知

1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。
2: 试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。
3: 文件的所有权益归上传用户所有。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 本站仅提供交流平台,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

版权提示 | 免责声明

本文(参考可行虚拟机Linux安装SYNOPSYS工具教程.docx)为本站会员(b****3)主动上传,冰豆网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知冰豆网(发送邮件至service@bdocx.com或直接QQ联系客服),我们立即给予删除!

参考可行虚拟机Linux安装SYNOPSYS工具教程.docx

1、参考可行虚拟机Linux安装SYNOPSYS工具教程虚拟机Linux系统中安装SYNOPSYS工具图解教程陈浩利2011-05-16一、安装环境虚拟机:VMware 7.1操作系统:Fedora 10installer版本:2.0scl版本:10.9.3(据网上资料,有些用了11.1版本的,兼容性不是很好,故用此版本)dc版本:syn_vC-2009.06-SP5vcs版本:vcs-mx_vD-2009.12simif版本:simif_vC-2009.06-SP1pt版本:prime time pts_vD-2009.12-SP1(以上软件EETOP上均有下载链接)二、安装步骤2.1 建立共享

2、文件夹前提:VMware和Linux系统均安装了VMware Tools,如果没有可以将VMware Tools安装文件拷贝在U盘中(Fedora 10可以识别U盘)进行安装。新建一个虚拟机,然后编辑虚拟机:添加共享文件夹目录:设置的文件夹Windows系统和Linux系统均可对其进行读写,将Synopsy的各种安装文件放在这个文件夹,再拷贝到Linux系统自己的分区。2.2 拷贝安装文件2.2.1 新建文件夹进入Fedora10 操作系统,在/home/chenhaoli(不同用户有不同的用户名,Fedora10中,用户只有在该路径下具有完全读写权限)下新建文件夹:/home/chenhao

3、li/eda(新建)/synopsys(新建)|-installer |-tar(存放installer安装文件) |-installer_v2.0(安装路径) |-scl |-tar(存放scl安装文件) |-scl_v10.9.3(scl安装路径) |-license(存放license) |-dc |-tar(存放dc安装文件) |-dc_2009(dc_2009安装路径) |-vcs |-tar(存放dc安装文件) |-vcs_2009(vcs_2009安装路径) |-simif |-tar(存放dc安装文件) |-simif_2009(simif_2009安装路径) |-pt |-t

4、ar(存放dc安装文件) |-pt_2009(pt_2009安装路径)2.2.2 拷贝安装文件将installer_v2.0.tar.Z拷贝到/synopsys/installer/tar中;scl_v10.9.3_common.tar、scl_v10.9.3_linux.tar拷贝到/synopsys/scl/tar中; syn_vC-2009.06-SP5_common.tar、syn_vC-2009.06-SP5_linux.tar拷贝到/synopsys/ dc/ tar中。2.3 安装installer_v2.0以管理员身份进入系统chenhaolilocalhost $ suPas

5、sword: rootlocalhost chenhaoli#进入installer_v2.0安装文件路径rootlocalhost chenhaoli# cd /home/chenhaoli/eda/synopsys/installer/tar解压缩installer_v2.0.tar.Ztar zxvf installer_v2.0.tar.Z -C /home/chenhaoli/eda/synopsys/installer/installer_v2.0(解压文件名) (解压路径)KO2.4 安装scl_v10.9.32.4.1 安装sch【有些linux操作系统安装时已经默认安装了sc

6、h,则不必进行此操作】在Fedora10的安装光盘中找到tcsh-6.15-6.fc10.i386.rpm,拷贝到Linux系统中。安装rpm包rootlocalhost synopsys# cd /home/chenhaoli/eda/synopsysrootlocalhost synopsys# rpm i tcsh-tcsh-6.15-6.fc10.i386.rpm2.4.2 启动installer_v2.0安装工具进入installer_v2.0安装路径rootlocalhost installer_v2.0# cd /home/chenhaoli/eda/synopsys/insta

7、ller/installer_v2.0运行installer_v2.0rootlocalhost installer_v2.0# ./installer -gui出现图形化安装界面2.4.3 安装scl_v10.9.3选择scl_v10.9.3安装文件路径进入安装信息询问,一路Next选择安装路径KO2.5 安装其他Synopsys工具以同样方法安装其他Synopsys工具。安装vcs-mx_2009时,需要把安装文件的名字改成vcs-mx_vD-2009.12_common.tar、vcs-mx_vD-2009.12_linux.tar和vcs-mx_vD-2009.12_amd64.tar

8、。其他同理。2.6 制作license2.6.1 查询虚拟机MAC地址进入Linux操作系统虚拟机安装目录以记事本编辑.vmx文件其中ethernet0.generatedAddress = 00:0c:29:b5:55:20表明其MAC地址为000c29b55520(不同的虚拟机地址不同)2.6.2 生成synopsys.dat运行LicGen.exe保存为synopsys.dat2.6.3 生成license.dat将synopsys.dat 拷到Synopsys SSS Feature Keygen 目录里在windows中进入虚拟doc环境记下SECRET DATA这串数字运行KeyG

9、en.exe产生一个license.dat文件2.6.4 合并licese用记事本打开license.dat,将license.dat中以下字段复制到synopsys.dat中FEATURE SSS snpslmd 1.0 31-dec-2020 uncounted ED6EF85B8F17D5781D8F VENDOR_STRING=69656 d1d88 34cc3 36f8e 3a5e5 5fae4 70228 44942 4fa94 e7e HOSTID=000c29b55520 ISSUER=Team ZWT 2006 NOTICE=Licensed to mammoth/ZWT 2

10、006 PLEASE DO NOT DELETE THIS SSS KEY SN=RK:1978-0:001224:0 START=1-jan-2006修改synopsys.dat的hostname和snpslmd路径2.6.5 验证license将修改后的synopsys.dat拷贝到/home/chenhaoli/eda/synopsys/license路径下运行sssverify程序验证licenseKO2.7 环境变量设置打开linux系统中用户目录下的.bashrc配置文件 将以下字段拷贝到.bashrc文件的最后(红色部分需要自己手动修改)#lmgrdexport PATH=/ho

11、me/chenhaoli/eda/synopsys/scl/scl_v10.9.3/linux/bin:$PATH#start synopsys license using lmgrdalias lmli2=lmgrd -c /home/chenhaoli/eda/synopsys/license/synopsys.dat -l /syn_lic.logsetenv VCS_ARCH_OVERRIDE linux#Design Compilerexport PATH=/home/chenhaoli/eda/synopsys/dc/dc_2009/bin:$PATH#vcs-mxexport P

12、ATH=/home/chenhaoli/eda/synopsys/vcs/vcs-mx_2009/bin:$PATH#simifexport PATH=/home/chenhaoli/eda/synopsys/simif/simif_2009/bin:$PATH#ptsexport PATH=/home/chenhaoli/eda/synopsys/pt/pt_2009/bin:$PATH#Set Home Directoryexport SYNOPSYS=/home/chenhaoli/eda/synopsysexport DC_HOME=/home/chenhaoli/eda/synops

13、ys/dc/dc_2009export VCS_HOME=/home/chenhaoli/eda/synopsys/vcs/vcs-mx_2009export SIMIF_HOME=/home/chenhaoli/eda/synopsys/simif/simif_2009export PT_HOME=/home/chenhaoli/eda/synopsys/pt/pt_2009#SNPSLMD_LICENSE_FILEexport SNPSLMD_LICENSE_FILE=27000localhost#Aliasalias dc=dc_shellalias dv=design_visionalias vcs=vcsalias simif=simifalias pt=primetime2.8 启动dc2.8.1 启动dc在终端运行命令:lmli2然后再运行命令:dcKO2.8.2 启动dv在终端运行命令:lmli2然后再运行命令:dvKO2.8.3 启动vcs在终端运行命令:lmli2然后再运行命令:vcs -.(需要带参数才能启动vcs)2.8.4 启动simif在终端运行命令:lmli2然后再运行命令:simifKO2.8.5 启动pt在终端运行命令:lmli2然后再运行命令:pt KO

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1