参考可行虚拟机Linux安装SYNOPSYS工具教程.docx

上传人:b****3 文档编号:5336437 上传时间:2022-12-15 格式:DOCX 页数:24 大小:1.93MB
下载 相关 举报
参考可行虚拟机Linux安装SYNOPSYS工具教程.docx_第1页
第1页 / 共24页
参考可行虚拟机Linux安装SYNOPSYS工具教程.docx_第2页
第2页 / 共24页
参考可行虚拟机Linux安装SYNOPSYS工具教程.docx_第3页
第3页 / 共24页
参考可行虚拟机Linux安装SYNOPSYS工具教程.docx_第4页
第4页 / 共24页
参考可行虚拟机Linux安装SYNOPSYS工具教程.docx_第5页
第5页 / 共24页
点击查看更多>>
下载资源
资源描述

参考可行虚拟机Linux安装SYNOPSYS工具教程.docx

《参考可行虚拟机Linux安装SYNOPSYS工具教程.docx》由会员分享,可在线阅读,更多相关《参考可行虚拟机Linux安装SYNOPSYS工具教程.docx(24页珍藏版)》请在冰豆网上搜索。

参考可行虚拟机Linux安装SYNOPSYS工具教程.docx

参考可行虚拟机Linux安装SYNOPSYS工具教程

虚拟机Linux系统中安装SYNOPSYS工具图解教程

陈浩利

2011-05-16

一、安装环境

虚拟机:

VMware7.1

操作系统:

Fedora10

installer版本:

2.0

scl版本:

10.9.3(据网上资料,有些用了11.1版本的,兼容性不是很好,故用此版本)

dc版本:

syn_vC-2009.06-SP5

vcs版本:

vcs-mx_vD-2009.12

simif版本:

simif_vC-2009.06-SP1

pt版本:

primetimepts_vD-2009.12-SP1

(以上软件EETOP上均有下载链接)

二、安装步骤

2.1建立共享文件夹

前提:

VMware和Linux系统均安装了VMwareTools,如果没有可以将VMwareTools安装文件拷贝在U盘中(Fedora10可以识别U盘)进行安装。

新建一个虚拟机,然后编辑虚拟机:

添加共享文件夹目录:

设置的文件夹Windows系统和Linux系统均可对其进行读写,将Synopsy的各种安装文件放在这个文件夹,再拷贝到Linux系统自己的分区。

2.2拷贝安装文件

2.2.1新建文件夹

进入Fedora10操作系统,在/home/chenhaoli(不同用户有不同的用户名,Fedora10中,用户只有在该路径下具有完全读写权限)下新建文件夹:

/home/chenhaoli/eda(新建)/synopsys(新建)|--installer

|--tar(存放installer安装文件)

|--installer_v2.0(安装路径)

|--scl

|--tar(存放scl安装文件)

|--scl_v10.9.3(scl安装路径)

|--license(存放license)

|--dc

|--tar(存放dc安装文件)

|--dc_2009(dc_2009安装路径)

|--vcs

|--tar(存放dc安装文件)

|--vcs_2009(vcs_2009安装路径)

|--simif

|--tar(存放dc安装文件)

|--simif_2009(simif_2009安装路径)

|--pt

|--tar(存放dc安装文件)

|--pt_2009(pt_2009安装路径)

2.2.2拷贝安装文件

将installer_v2.0.tar.Z拷贝到/synopsys/installer/tar中;scl_v10.9.3_common.tar、scl_v10.9.3_linux.tar拷贝到/synopsys/scl/tar中;syn_vC-2009.06-SP5_common.tar、syn_vC-2009.06-SP5_linux.tar拷贝到/synopsys/dc/tar中。

2.3安装installer_v2.0

以管理员身份进入系统

[chenhaoli@localhost~]$su

Password:

[root@localhostchenhaoli]#

进入installer_v2.0安装文件路径

[root@localhostchenhaoli]#cd/home/chenhaoli/eda/synopsys/installer/tar

解压缩installer_v2.0.tar.Z

tar–zxvfinstaller_v2.0.tar.Z-C/home/chenhaoli/eda/synopsys/installer/installer_v2.0

(解压文件名)(解压路径)

KO

2.4安装scl_v10.9.3

2.4.1安装sch

【有些linux操作系统安装时已经默认安装了sch,则不必进行此操作】

在Fedora10的安装光盘中找到tcsh-6.15-6.fc10.i386.rpm,拷贝到Linux系统中。

安装rpm包

[root@localhostsynopsys]#cd/home/chenhaoli/eda/synopsys

[root@localhostsynopsys]#rpm–itcsh-tcsh-6.15-6.fc10.i386.rpm

2.4.2启动installer_v2.0安装工具

进入installer_v2.0安装路径

[root@localhostinstaller_v2.0]#cd/home/chenhaoli/eda/synopsys/installer/installer_v2.0

运行installer_v2.0

[root@localhostinstaller_v2.0]#./installer-gui

出现图形化安装界面

2.4.3安装scl_v10.9.3

选择scl_v10.9.3安装文件路径

进入安装信息询问,一路Next

选择安装路径

KO

2.5安装其他Synopsys工具

以同样方法安装其他Synopsys工具。

安装vcs-mx_2009时,需要把安装文件的名字改成vcs-mx_vD-2009.12_common.tar、vcs-mx_vD-2009.12_linux.tar和vcs-mx_vD-2009.12_amd64.tar。

其他同理。

2.6制作license

2.6.1查询虚拟机MAC地址

进入Linux操作系统虚拟机安装目录

以记事本编辑.vmx文件

其中ethernet0.generatedAddress="00:

0c:

29:

b5:

55:

20"表明其MAC地址为000c29b55520(不同的虚拟机地址不同)

2.6.2生成synopsys.dat

运行LicGen.exe

保存为synopsys.dat

2.6.3生成license.dat

将synopsys.dat拷到SynopsysSSSFeatureKeygen目录里

在windows中进入虚拟doc环境

记下SECRETDATA这串数字

运行KeyGen.exe

产生一个license.dat文件

2.6.4合并licese

用记事本打开license.dat,将license.dat中以下字段复制到synopsys.dat中

FEATURESSSsnpslmd1.031-dec-2020uncountedED6EF85B8F17D5781D8F\

VENDOR_STRING="69656d1d8834cc336f8e3a5e55fae47022844942\

4fa94e7e"HOSTID=000c29b55520ISSUER="TeamZWT2006"\

NOTICE="Licensedtomammoth//ZWT2006[PLEASEDONOTDELETETHIS\

SSSKEY]"SN=RK:

1978-0:

001224:

0START=1-jan-2006

修改synopsys.dat的hostname和snpslmd路径

2.6.5验证license

将修改后的synopsys.dat拷贝到/home/chenhaoli/eda/synopsys/license路径下

运行sssverify程序验证license

KO

2.7环境变量设置

打开linux系统中用户目录下的.bashrc配置文件

将以下字段拷贝到.bashrc文件的最后(红色部分需要自己手动修改)

###############################################################################

#lmgrd

exportPATH="/home/chenhaoli/eda/synopsys/scl/scl_v10.9.3/linux/bin:

"$PATH

#startsynopsyslicenseusinglmgrd

aliaslmli2="lmgrd-c/home/chenhaoli/eda/synopsys/license/synopsys.dat-l~/syn_lic.log"

setenvVCS_ARCH_OVERRIDElinux

#DesignCompiler

exportPATH="/home/chenhaoli/eda/synopsys/dc/dc_2009/bin:

"$PATH

#vcs-mx

exportPATH="/home/chenhaoli/eda/synopsys/vcs/vcs-mx_2009/bin:

"$PATH

#simif

exportPATH="/home/chenhaoli/eda/synopsys/simif/simif_2009/bin:

"$PATH

#pts

exportPATH="/home/chenhaoli/eda/synopsys/pt/pt_2009/bin:

"$PATH

#SetHomeDirectory

exportSYNOPSYS="/home/chenhaoli/eda/synopsys"

exportDC_HOME="/home/chenhaoli/eda/synopsys/dc/dc_2009"

exportVCS_HOME="/home/chenhaoli/eda/synopsys/vcs/vcs-mx_2009"

exportSIMIF_HOME="/home/chenhaoli/eda/synopsys/simif/simif_2009"

exportPT_HOME="/home/chenhaoli/eda/synopsys/pt/pt_2009"

#SNPSLMD_LICENSE_FILE

exportSNPSLMD_LICENSE_FILE=27000@localhost

#Alias

aliasdc="dc_shell"

aliasdv="design_vision"

aliasvcs="vcs"

aliassimif="simif"

aliaspt="primetime"

2.8启动dc

2.8.1启动dc

在终端运行命令:

lmli2

然后再运行命令:

dc

KO

2.8.2启动dv

在终端运行命令:

lmli2

然后再运行命令:

dv

KO

2.8.3启动vcs

在终端运行命令:

lmli2

然后再运行命令:

vcs-...(需要带参数才能启动vcs)

2.8.4启动simif

在终端运行命令:

lmli2

然后再运行命令:

simif

KO

2.8.5启动pt

在终端运行命令:

lmli2

然后再运行命令:

pt

KO

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 自然科学 > 物理

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1