ImageVerifierCode 换一换
格式:DOCX , 页数:9 ,大小:214.81KB ,
资源ID:5054639      下载积分:3 金币
快捷下载
登录下载
邮箱/手机:
温馨提示:
快捷下载时,用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)。 如填写123,账号就是123,密码也是123。
特别说明:
请自助下载,系统不会自动发送文件的哦; 如果您已付费,想二次下载,请登录后访问:我的下载记录
支付方式: 支付宝    微信支付   
验证码:   换一换

加入VIP,免费下载
 

温馨提示:由于个人手机设置不同,如果发现不能下载,请复制以下地址【https://www.bdocx.com/down/5054639.html】到电脑端继续下载(重复下载不扣费)。

已注册用户请登录:
账号:
密码:
验证码:   换一换
  忘记密码?
三方登录: 微信登录   QQ登录  

下载须知

1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。
2: 试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。
3: 文件的所有权益归上传用户所有。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 本站仅提供交流平台,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

版权提示 | 免责声明

本文(基于EDA技的汽车尾灯控制电路设计.docx)为本站会员(b****3)主动上传,冰豆网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知冰豆网(发送邮件至service@bdocx.com或直接QQ联系客服),我们立即给予删除!

基于EDA技的汽车尾灯控制电路设计.docx

1、基于EDA技的汽车尾灯控制电路设计汽车尾灯控制电路一、设计任务 (一)系统功能汽车尾灯控制电路是很常用的工作电路,在日常的生活中有着很广泛的应用。汽车行驶时,会出现正常行驶、左转弯、右转弯、刹车、倒车五种情况,针对这五种情况可以设计出汽车尾灯的控制电路来表示这五种状态。根据以上所述,应用数字电子技术的知识,设计一款汽车尾灯控制系统,该系统中:1、假设汽车尾部左右两侧各有3个指示灯(用发光二极管模拟);2、汽车正常运行时指示灯全灭;3、汽车左转弯时,左侧3个指示灯按左循环顺序点亮,汽车右转弯时,右侧3个指示灯按右循环顺序点亮,临时刹车和倒车时所有指示灯同时亮。4、倒车时播放语音警告5、设置两个可

2、控制的开关,可产生00、01、10、11四种状态开关置为00状态时,表示汽车处于正常运行状态。开关置为01状态时,表示汽车处于右转弯状态。开关置为10状态时,表示汽车处于左转弯状态。开关置为11状态时,表示汽车处于刹车状态。另设一开关表示汽车运行状态,0表示向前运行,1表示向后倒车。(二) 汽车尾灯控制电路设计任务1、系统框图与原理图设计2、系统电路原理EDA设计与仿真3、系统电路的PCB印制板设计4、硬件调试(三)EDA仿真实验条件要求实验板仿真芯片用Altera Cyclone系列的1C6 240-pin TQFP可编程逻辑芯片。大部分仿真用计算机软件来完成,当认为运行比较理想时,要按照后

3、文的管脚定义,分配好管脚,编译成可下载的文件,下载到实验板上。要求能够实现主体功能,实验结果存在的问题,要在报告中分析其原因。二、实际设计(一)、系统框图与原理图设计该系统的内部控制主要由个模块构成,分别为:状态开关、控制器、倒车警告电路及二极管电路。系统原理框图如下: 1、状态开关共由3个开关组成,一个开关控制汽车运行方向,其余两个开关表示汽车运行状态。2、控制器为写入程序的Altera Cyclone系列的1C6 240-pin TQFP FPGA芯片,由它根据输入的开关状态控制相应的输出信号。3、尾灯发光二极管是由6个二极管分成两组构成的电路,模拟汽车尾部的左右尾灯。4、倒车报警电路是由

4、语音芯片WTV020构成,当汽车倒车时控制器输出相应信号使该报警电路工作。(二)系统原理EDA设计与仿真1、EDA软件和硬件环境EDA软件为Modelsim和QuartusII,硬件环境为友晶公司Trex_C1开发板。2、verilog编程设计本设计才用verilog语言编写了含有4个状态的状态机,四个状态分别对应正常行驶、左转、右转和紧急刹车,在左转和右转状态中利用verilog模拟移位寄存器对输出信号移位处理得到信号灯循环闪亮的效果,具体设计原理图如下:程序代码:timescale 1ns/100psmodule carled(clk,sw_in,led_left,led_right,st

5、ate);input clk;input 1:0 sw_in;output 1:0 state;output 2:0 led_left;output 2:0 led_right;reg 2:0 led_left;reg 2:0 led_right;reg 31:0 count;reg 31:0temp1;reg 31:0temp2;parameter1:0 s0=2b00,s1=2b01,s2=2b10,s3=2b11;reg 1:0 state; always(sw_in)case (sw_in) 2b00:state=s0; 2b01:state=s1; 2b10:state=s2; 2b

6、11:state=s3;endcasealways(state or clk) case(state) s0: begin led_left2:0=3b0; led_right2:0=3b0; temp1=0; temp2=0; end s1: begin led_left2:0=3b000; /initial if(temp1=0) led_right2:0=3b100; else led_right2:0=led_right0,led_right2:1; temp1=temp1+1; end / s2: begin led_right2:0=3b000; if(temp2=0) led_l

7、eft2:0=3b001; else led_left2:0=led_left1:0,led_left2; temp2=temp2+1; end s3: begin led_left2:0=3b111; led_right2:0=3b111; temp1=0; temp2=0; end endcaseendmodule testbanch代码:timescale 1ns/100psmodule carled_tb;reg 1:0 sw_in;wire 2:0 led_left;wire 2:0 led_right;wire 1:0 state;reg clk;carled carled_ins

8、t(clk,sw_in,led_left,led_right,state);initial begin clk=1b0; endinitial beginforever #1 clk=clk; endinitial begin sw_in=2b00; #5 sw_in=2b01; #5 sw_in=2b10; #5 sw_in=2b11; #5 $stop;endEndmodule3、ModelSim系统仿真:ModelSim编译结果: ModelSim波形仿真结果:仿真结果分析:sw_in1:0代表汽车状态控制开关,led_left2:0表示汽车左尾灯,led_right2:0表示汽车右尾灯

9、,state表示汽车状态,clk为时钟信号。当汽车控制开关为00时,汽车处于正常运行状态,左右尾灯都是000。当控制开关sw_in1:0变为01时,汽车状态state变为01,汽车处于右转状态,右尾灯随时钟信号循环右移闪亮。当控制开关sw_in1:0变为10时,汽车状态state变为 10汽车左转,左尾灯随时钟信号循环左移闪亮。当sw_in1:0变为11时,左右尾灯全部亮。波形仿真时选择时钟周期为1ns,汽车尾灯移位周期为1个时钟周期,实际应用时可根据时钟频率调节尾灯移位周期为多个时钟周期。4、由Verilog源代码生成RTL级电路:4、Quartus II设计验证:Quartus II 编译

10、结果:将生成的文件通过JTAG方式下载到Trex C1开发板中:开发板引脚分配: 名称引脚号接口名称引脚号接口sw_in0Pin 14KEY0led_left0Pin 50LED0sw_in1Pin 13KEY1led_left1Pin 11LED1led_right0Pin 193LED3led_left2Pin 228LED2led_right1Pin 170LED4clkPin 28OSC_50led_right2Pin 131LED5测试结果:当KEY0,KEY1均未按下时,6个LED均不发亮,当按下KEY0时,LED3:5依次向右循环闪亮,当按下KEY1时,LED0:2依次向左循环闪亮。当同时按下KEY0:1时,6个LED灯同时亮。

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1