ImageVerifierCode 换一换
格式:DOCX , 页数:8 ,大小:175.29KB ,
资源ID:4680557      下载积分:3 金币
快捷下载
登录下载
邮箱/手机:
温馨提示:
快捷下载时,用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)。 如填写123,账号就是123,密码也是123。
特别说明:
请自助下载,系统不会自动发送文件的哦; 如果您已付费,想二次下载,请登录后访问:我的下载记录
支付方式: 支付宝    微信支付   
验证码:   换一换

加入VIP,免费下载
 

温馨提示:由于个人手机设置不同,如果发现不能下载,请复制以下地址【https://www.bdocx.com/down/4680557.html】到电脑端继续下载(重复下载不扣费)。

已注册用户请登录:
账号:
密码:
验证码:   换一换
  忘记密码?
三方登录: 微信登录   QQ登录  

下载须知

1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。
2: 试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。
3: 文件的所有权益归上传用户所有。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 本站仅提供交流平台,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

版权提示 | 免责声明

本文(脉冲宽度测量仪xxx.docx)为本站会员(b****3)主动上传,冰豆网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知冰豆网(发送邮件至service@bdocx.com或直接QQ联系客服),我们立即给予删除!

脉冲宽度测量仪xxx.docx

1、脉冲宽度测量仪xxxEDA技术课程设计题目: 脉冲宽度测量仪 专业班级: 电子信息工程 姓名: xxxxxxxxx 学号: 0xxxxxxxxxxxx8 指导老师: xxxxx 2012年5月20日EDA程序课程设计任务书一、主要任务:采用EDA技术,设计一个能测量脉冲信号宽度的系统。系统图如下:二、基本要求:(1)脉冲信号宽度的测量精度为1ms。(2)脉冲信号宽度的测量范围为010s。(3)调试过程中可以用按键模拟脉冲信号。(4)测量值用5位数码管显示(可以采用静态显示)。(5)输入信号为标准TTL电平。(6)调试中既可以采用正脉冲,也可以采用负脉冲(任选其一)。(7)必须先进行前仿真,并打

2、印出仿真波形。(8)按要求写好设计报告(设计报告内容包括:引言,方案设计与论证,总体设计,各模块设计,调试与数据分析,总结)。目 录引言 4第一章 总体设计思想 41.基本原理 42.设计框图 4第二章 设计步骤和调试过程 51、总体设计电路 5(1)脉冲检测模块 5(2)计数模块 5(3)译码显示模块 5第三章 模块设计和相应模块程序 51、检测程序模块 52、计数程序模块 6第四章 设计电路图 9第五章 实验调试结果 10第六章 结论及心得体会 11参考资料 11 引言:随着我国脉冲测量仪器市场的迅猛发展,特别是十二五时期,转变经济增长方式这一主基调的确定,与之相关的核心生产技术应用与研发

3、必将成为业内企业关注的焦点。技术工艺的优劣直接决定企业的市场竞争力。了解国内外脉冲测量仪器生产核心技术的研发动向、工艺设备、技术应用对于企业提升产品技术规格,提高市场竞争力十分关键。本报告通过详细的介绍脉冲宽度测量仪的设计方法,为客户提供脉冲测量仪器行业主要技术应用现状、技术研发、工艺设备配套、高端技术应用等多方面的信息,对于企业了解各类脉冲测量仪器产品生产技术及其发展状况十分有益第一章 总体设计思想1.基本原理根据设计要求,系统的输入信号有:系统时钟信号CLK,系统复位信号CLR, 脉冲输入信号P_ IN。当计数输出端检测到P_ IN端有脉冲输入时检测模块就会输出,否则为,输给计数模块的端,

4、当各位累计到时,会向十位进位 以此类推到万位.最后由数码管显示脉冲的宽度(数码管的读数).2.设计框图系统组成方框图如下所示,它由外部输入模块、检测模块和显示模块三部分组成。检测模块是整个系统的核心,它由计数模块、控制模块、计量模块和显示模块构成。图1.1 系统框图第二章 设计步骤和调试过程1、总体设计电路(1)脉冲检测模块当有检测到P_IN端有脉冲输入(P_IN=1)时,EN_OUT=1输送到计数器的EN端。(2)计数模块计数模块是对检测到的脉冲进行计数 ,计算出脉冲的宽度。(3)译码显示模块对输入的脉冲宽度进行显示出来。第三章 模块设计和相应模块程序1、检测程序模块-bas of meas

5、ure;library ieee;use ieee.std_logic_1164.all;entity measure isport(P_IN : in std_logic;EN_OUT: out std_logic);end measure;architecture behave of measure isbeginprocess (P_IN)beginif(P_IN=1) then EN_OUT=1; -实现检测,若有脉冲就输出为1else EN_OUT=0; -,否则为0end if;end process;end behave;2、计数程序模块library ieee;use ieee

6、.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity count isport( EN:in std_logic;CLK:in std_logic;CLR:in std_logic;CQ:out std_logic;Qout: buffer:std_logic_vector(3 downto 0);end count;architecture art of count isbeginprocess(CLK,CLR,EN,QOUT)Beginif CLR=1 then Qout=0000;elsif CLKevent and CLK

7、=1 thenif EN=1 then Qout=1001 then Qout=0000;else Qout=Qout+1;end if;end if ;end if ;if Qout=1001 thenCQ=1;elseCQ=0;end if;end process U1;end art;第四章 设计电路图图4.1 原理图构建第五章 实验调试结果为验证所设计程序是否正确,将程序下载进行硬件测试。在Quartus开发环境中进行管脚锁定,连接好数码管驱动电路,然后将目标文件下载到器件多次数据测试,显示正常,基本实现本实验的要求,下面是波形仿真图:图5.1 波形仿真图第六章 结论及心得体会这次设计

8、真的让我学到了很多,增加了我们对EDA的认识,增深了我们对EDA的理解深度和运用的能力,学在其中,乐在其中。在这其中我也碰到很多困难,最大的是在调试,在调试了很久,在朋友的帮助下,原来是括号的问题,调试时,怎么样把两个模块连接在一起,原理图怎么连接,朋友也告诉我格式的重要性,没有好的写格式的习惯,在括号上是很难找出错误的,真的发现自己学的还不够。在解决问题的技巧上,我觉得最大的就是遇到问题一定要问,而且一定要懂,看懂直到自己能写为止;还有就是“细心”,特别在写比如architecture art of count is后面不加分号等,还是一句最重要的还是得多写,养成好的习惯,慢慢就开始学会了。 通过这次课程设计,让自己从完全不知到开始会写,每写一句都会有成就感,特别是在调试成功之后,那种感觉真的很好,还要感谢帮助我的老师和同学,没有他们,我的程序和调试也不可能这么快完成,谢谢你们。参考资料:1 潘松著.EDA技术实用教程(第二版). 北京:科学出版社,2005. 2 康华光主编.电子技术基础 模拟部分. 北京:高教出版社,2006.3 阎石主编.数字电子技术基础. 北京:高教出版社,2002

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1