ImageVerifierCode 换一换
格式:DOCX , 页数:7 ,大小:117.83KB ,
资源ID:3181235      下载积分:12 金币
快捷下载
登录下载
邮箱/手机:
温馨提示:
快捷下载时,用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)。 如填写123,账号就是123,密码也是123。
特别说明:
请自助下载,系统不会自动发送文件的哦; 如果您已付费,想二次下载,请登录后访问:我的下载记录
支付方式: 支付宝    微信支付   
验证码:   换一换

加入VIP,免费下载
 

温馨提示:由于个人手机设置不同,如果发现不能下载,请复制以下地址【https://www.bdocx.com/down/3181235.html】到电脑端继续下载(重复下载不扣费)。

已注册用户请登录:
账号:
密码:
验证码:   换一换
  忘记密码?
三方登录: 微信登录   QQ登录  

下载须知

1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。
2: 试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。
3: 文件的所有权益归上传用户所有。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 本站仅提供交流平台,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

版权提示 | 免责声明

本文(用verilog语言编写交通灯程序.docx)为本站会员(b****4)主动上传,冰豆网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知冰豆网(发送邮件至service@bdocx.com或直接QQ联系客服),我们立即给予删除!

用verilog语言编写交通灯程序.docx

1、用verilog语言编写交通灯程序交通灯一、 实验目的写一个交通灯,要求:1 有东西南北四个方向,两组交通灯轮流交替变换,其中,红灯时间为30个时间单位,绿灯时间为25个时间单位,黄灯时间为5个时间单位。最后用modelsim软件进行仿真。2 要求设计是一个可综合设计。二、 实验原理根据实验要求的逻辑功能描述,可以分析得出原理图如下: 控制器即可以设计为一个有限状态机的形式:E-W方向S-N方向状态RYGRYG100100IDLE100001S1100010S2001100S3010100S4根据实验要求画出控制器的状态转移图如下:三、 代码1、 源代码(1)控制器模块module traff

2、ic_lights(clk,rst,count,ew,sn);input clk,rst;input5:0 count;output2:0 ew,sn;reg2:0 ew,sn;reg3:0 state;parameter Idle=3b000,s1=3b001,s2=3b010,s3=3b011,s4=3b100;always (posedge clk) if(!rst) begin state=Idle; end else casex(state) Idle: if(rst) begin state=s1; end s1: if(count=d25) begin state=s2; end

3、 s2: if(count=d30) begin state=s3; end s3: if(count=d55) begin state=s4; end s4: if(count=d60) begin state=s1; end endcasealways (posedge clk)begin if(!rst) begin ew=3b100; sn=3b100; end else casex(state) Idle: if(rst) begin ew=3b100; sn=3b001; end s1: if(count=d25) begin ew=3b100; sn=3b010; end s2:

4、 if(count=d30) begin ew=3b001; sn=3b100; end s3: if(count=d55) begin ew=3b010; sn=3b100; end s4: if(count=d60) begin ew=3b100; sn=3b001; end default: state=Idle; endcaseendendmodule(2)计数器模块module counter(en,clk,rst,out);output5:0out;input en,clk,rst;reg5:0 out;always(posedge clk or negedge rst)begin

5、 if(!rst) out=d0; else if(!en&outd60) out=out+1; else out=d1;endendmodule(3)将控制器与计数器进行连接module traffic_lights_top(out,clk,rst,en,ew,sn);input clk,rst,en;output2:0 ew,sn;output5:0out;wire5:0 out; traffic_lights u1( .clk(clk), .rst(rst), .count(out), .ew(ew), .sn(sn) );counter u2( .en(en), .clk(clk),

6、.rst(rst), .out(out) ); endmodule2、激励timescale 1ns/100psmodule traffic_lights_tb; reg clk,rst,en; wire2:0 ew,sn;wire5:0out; traffic_lights_top m( .clk(clk), .rst(rst), .en(en), .ew(ew), .sn(sn), .out(out) ); always #5 clk=clk;initial en=1;initial begin clk=1; en=0; rst=0; #5 rst=1; endendmodule四、 仿真

7、波形(图一)(图二)五、波形说明波形图中,从上至下依次为:时钟信号clk、复位信号rst、计数器使能端en、东西方向上灯的状态ew、南北方向上灯的状态sn、计数器的输出out。该程序实现的功能是在一个十字路口的交通灯的轮流交替变换: 状态方向灯的状态025253030555560东西方向ew红红绿黄南北方向sn绿黄红红图一可以完整的看到60个时间单位内两个方向上灯交替的状况图二可以清楚的看到在时间从030过程中灯的跳变时间和结果。五、 实验过程中遇到的问题及解决方法1、在实验过程中得到的波形图跟我设计的时间间隔不一致,仔细检查过后发现是因为控制器和计数器没有很好的连接在一起,导致灯的跳变跟计数器的控制脱离了,修改之后得到时间间隔比例跟设计一致的波形。2、在检查波形的过程中发现计数器实现的不是模60,而是模64,将计数器程序中的选择条件从if(!en)改为if(!en&outd60)之后得到了自己想要的计数器。六、 实验心得刚开始,程序调试过程中始终都有些莫名其妙的错误,自己只能凭着自己的理解和单纯靠一些感觉去修改。有时候越改错误越多,到后来程序显示没有错误了,但是仿真却无法执行,一长串的警告看的我有点崩溃。静下心来后从头开始分析每一句的程序,最后发现其实只是一个很小的失误。

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1