ImageVerifierCode 换一换
格式:DOCX , 页数:10 ,大小:179.11KB ,
资源ID:29614628      下载积分:3 金币
快捷下载
登录下载
邮箱/手机:
温馨提示:
快捷下载时,用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)。 如填写123,账号就是123,密码也是123。
特别说明:
请自助下载,系统不会自动发送文件的哦; 如果您已付费,想二次下载,请登录后访问:我的下载记录
支付方式: 支付宝    微信支付   
验证码:   换一换

加入VIP,免费下载
 

温馨提示:由于个人手机设置不同,如果发现不能下载,请复制以下地址【https://www.bdocx.com/down/29614628.html】到电脑端继续下载(重复下载不扣费)。

已注册用户请登录:
账号:
密码:
验证码:   换一换
  忘记密码?
三方登录: 微信登录   QQ登录  

下载须知

1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。
2: 试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。
3: 文件的所有权益归上传用户所有。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 本站仅提供交流平台,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

版权提示 | 免责声明

本文(项目8 数字电压表设计.docx)为本站会员(b****8)主动上传,冰豆网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知冰豆网(发送邮件至service@bdocx.com或直接QQ联系客服),我们立即给予删除!

项目8 数字电压表设计.docx

1、项目8 数字电压表设计项目八 数字电压计设计班级:09电信 姓名:曾珍 学号:331. 实训目标1) 使用AD0809模数转换芯片实现信号转换。2) 在EDA-V+系统上实现交通灯控制系统。2. 实训步骤1) 首先使用A/D转换模块,通过AD0809芯片,将模拟电压信号转换成8位数字量。AD0809的V+至+5V,V-至GND,CLK取500KHz。完成剩余管脚的连接。2) 将8位信号送入到CPLD进行数据处理,并将处理完的数据送至数码管显示。3) 将分频、采样、译码显示模块连接在一起,实现数字电压计的设计,将输出结果显示在数码管上。3. 实训数据1) 画出最终的系统连接图,并附上每个模块的程

2、序代码。division1library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity division1 isport( clk : in std_logic; clk4 : out std_logic);end division1;architecture behave of division1 isbegin process(clk) variable counter : std_logic_vector(7 downto 0); begin if (clkevent and clk=0)

3、then if (counter=250) then counter:=00000000; clk4= 1; else counter:=counter+1; clk4=0; end if; end if; end process;end behave;codelibrary ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;use ieee.std_logic_arith.all;entity code isport( clk:in std_logic; datain : in unsigned(7 downto

4、 0); scan:out std_logic_vector(1 downto 0); dataout1: out std_logic_vector(6 downto 0) );end code;architecture behave of code issignal cnt:integer range 2 downto 0;signal data:integer range 9 downto 0;signal temp1: integer range 511 downto 0;signal temp2: integer range 99 downto 0;signal count1,coun

5、t2: integer range 9 downto 0;signal count3 : integer range 5 downto 0;beginprocess(clk)beginif clkevent and clk=1 thenif cnt=2 then cnt=0;elsecnt=cnt+1;end if;end if;end process;process(datain) begin temp1 count3=5; temp2 count3=4; temp2 count3=3; temp2 count3=2; temp2 count3=1; temp2 count3=0; temp

6、2null; end case; case temp2 is when 90 to 99 = count2=9; count1 count2=8; count1 count2=7; count1 count2=6; count1 count2=5; count1 count2=4; count1 count2=3; count1 count2=2; count1 count2=1; count1 count2=0; count1null; end case; end process;process(cnt)begincase cnt iswhen 0=data=count1;scandata=

7、count2;scandata=count3;scannull;end case;end process;process(data)begin case data is when 0= dataout1 dataout1 dataout1 dataout1 dataout1 dataout1 dataout1 dataout1 dataout1 dataout1 null; end case;end process;end behave;adlibrary ieee;use ieee.std_logic_1164.all;use ieee.std_logic_arith.all;entity

8、ad isport(busy:in std_logic; datain:in unsigned(7 downto 0); clk:in std_logic; dataout:out unsigned(7 downto 0); cs:out std_logic; rd:out std_logic );end ad;architecture behav of ad isbeginprocess(clk)variable count:unsigned(1 downto 0);beginif clkevent and clk=1 then case count is when 00 = cs=1; r

9、d=1; dataout cs=0; rd if busy=0 then count:=count-1; end if; when others = null; end case;count:=count+1;end if;end process;end behav;2) 记录下系统的外部连线,注明每个管脚的功能。芯片EP1K30TC144-32633接datain7.0-输入54接busy-55接clk-脉冲信号 500khz9286接dataout16.0-输出 接到数码管 显示数字信号98,99接scan1.0-扫描101接cs102接rd芯片0809Busy接Int接54busyD0d7接2633接datain7.0Vref+接vccVref-接gndIn0接试验箱滑阻Cs接101 csRd接101 rd3) 本设计中的数字电压计的最小测量电压值是多少?拿一块数字万用表同时进行测量,比较数字电压计的误差,并记录相应数据。4. 附AD0809芯片资料1) 芯片结构2) 信号选择段

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1