ImageVerifierCode 换一换
格式:DOCX , 页数:12 ,大小:812.29KB ,
资源ID:29266176      下载积分:3 金币
快捷下载
登录下载
邮箱/手机:
温馨提示:
快捷下载时,用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)。 如填写123,账号就是123,密码也是123。
特别说明:
请自助下载,系统不会自动发送文件的哦; 如果您已付费,想二次下载,请登录后访问:我的下载记录
支付方式: 支付宝    微信支付   
验证码:   换一换

加入VIP,免费下载
 

温馨提示:由于个人手机设置不同,如果发现不能下载,请复制以下地址【https://www.bdocx.com/down/29266176.html】到电脑端继续下载(重复下载不扣费)。

已注册用户请登录:
账号:
密码:
验证码:   换一换
  忘记密码?
三方登录: 微信登录   QQ登录  

下载须知

1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。
2: 试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。
3: 文件的所有权益归上传用户所有。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 本站仅提供交流平台,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

版权提示 | 免责声明

本文(QuartusII使用教程完整实例.docx)为本站会员(b****8)主动上传,冰豆网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知冰豆网(发送邮件至service@bdocx.com或直接QQ联系客服),我们立即给予删除!

QuartusII使用教程完整实例.docx

1、QuartusII使用教程完整实例 入门教程Quartus 程序的编译和功能仿真)(一个Verilog工具,支持原理图输入、硬件描述语言的输公司推出的专业EDAQuartus 是Altera硬件描述语言的输入方式是利用类似高级程序的设计方法来设计出数字入等多种输入方式。工具进行初步的学习。使大家以后的数字系统设计更EDA系统。接下来我们对这种智能的 加容易上手。 第一步:打开软件 快捷工具栏 菜单栏 资源管理窗口 工作区 信息栏 任务管理窗口 )等快捷方式,方便用户使用,用户也可,编译()快捷工具栏:提供设置(compilesetting ?以在菜单栏的下拉菜单找到相应的选项。 菜单栏:软件所

2、有功能的控制选项都可以在其下拉菜单中找到。 ?信息栏:编译或者综合整个过程的详细信息显示窗口,包括编译通过信息和报错信息。 ? 1 filenew Project Wizard )第二步:新建工程(所建工程的保存路径 工程名称:1 顶层模块名(芯片级设计为实体名),要求 工程名称与工程名称相同果有已存在件就在该过程中添加,next 添加已有文件(没有已有文件的直接跳过2件将直将用加的文添加程中 2 MAX3000A芯片)系列下的EPM3256AQC208-103 选择芯片型号(我们选择 注:如果不下载到开发板上进行测试,这一步可以不用设置)( 芯片所选的 的系列型号 快速搜索所需的芯选择芯片

3、nextNonequartus4 选择仿真,综合工具(第一次实验全部利用做,三项都选,然后)3 选择第三方综合工具,如果内部综合工具Quartus使用none 则选择选择第三方仿真工具,如果内部仿真工具使用Quartusnone 则选择 选择时序分析仪 finish )5 工程建立完成(点该窗口显示所建立工程所工程建立完成工具选择情况的芯片,其他第三ED以及模块名等等信息。 4 filenew VHDL file ,新建完成之后要先保存。)第三步:添加文件( 文本Verilog HDL FileVerilog 我们选择设计文件格式既选择输入形式 第四步:编写程序 描述源文件如下:以实现一个与门

4、和或门为例,Verilog module test(a,b,out1,out2); input a,b; Output out1,out2; assign out1=a&b; assign out2=a | b; endmodule 然后保存源文件; )start Analysis & synthesis第五步:检查语法(点击工具栏的这个按钮()5 该窗口显示了语法检查后的详细口资源的多io信息,包括所使用的语法检查成功,没有少等内容,相应的英文名大家可以 级别以上的错误error 自己查阅 点击确定完成语法检查 (pin planner)第六步:(锁定引脚,点击工具栏的 )注:如果不下载到开

5、发板上进行测试,引脚可以不用分配( 顶层某块的输入输出口各个端口的输入输物理的芯片端口想对应 location 双击为您的输入输出配置引脚。6 start Complilation )()第七步:整体编译(工具栏的按钮 该窗口给出综合后代码的资源使 用情况既芯片型号等等信息。选择为使用端 口选项卡 进行功能仿真)第八步:功能仿真(直接利用quratusAssignmentssettingSimulator Settings将仿真类型设置为功能仿真(1 )下拉Function7 既不包括时序Functional表示功能仿真,表示时序仿真。加入线及信息,timinng 寄存器的延时信息 建立一个波

6、形文件:2 (newVector Waveform File)添加波形文件作为信号输出件,以便观察信号的输出情况 8 点击):然后导入引脚(双击Name下面空白区域Node Finderlist 点击如下图添加双击弹出右 信号 边的对话框 点击产生端口列表 )by 1接下来设置激励信号(单击选择TimingMultiplied 设置仿真的开始及结束时间设置输入信号周我们自定义的输入信 设置b信号源的时候类同设置a信号源,最后一步改Multiplied by 2 9 processingGenerate Functional 然后要先生成仿真需要的网表(工具栏 )Simulation Netlist 开始仿真):接下来开始仿真(仿真前要将波形文件保存,点击工具栏10 两个信号经过我们,ab 由 设计的模块产生的结果 观察波形,刚好符合我们的逻辑。功能仿真通过。 ,配置下载电缆Hardware (Programmer),再点击Setup第九步:下载(点击ByteBlasterMV or ”按钮,选择并口下载单击弹出窗口的“Add Hardware器件生成的下载文件后ByteBlasterMV,单击“Close”按钮完成设置。CPLD按钮开始start选中下载文件,然后直接点击,缀名为.pof点击下图所示方框,下载 )下载进度下载是该选必须打该 开始下载 完!11

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1