QuartusII使用教程完整实例.docx

上传人:b****8 文档编号:29266176 上传时间:2023-07-21 格式:DOCX 页数:12 大小:812.29KB
下载 相关 举报
QuartusII使用教程完整实例.docx_第1页
第1页 / 共12页
QuartusII使用教程完整实例.docx_第2页
第2页 / 共12页
QuartusII使用教程完整实例.docx_第3页
第3页 / 共12页
QuartusII使用教程完整实例.docx_第4页
第4页 / 共12页
QuartusII使用教程完整实例.docx_第5页
第5页 / 共12页
点击查看更多>>
下载资源
资源描述

QuartusII使用教程完整实例.docx

《QuartusII使用教程完整实例.docx》由会员分享,可在线阅读,更多相关《QuartusII使用教程完整实例.docx(12页珍藏版)》请在冰豆网上搜索。

QuartusII使用教程完整实例.docx

QuartusII使用教程完整实例

Ⅱ入门教程Quartus

程序的编译和功能仿真)(一个Verilog工具,支持原理图输入、硬件描述语言的输公司推出的专业EDAⅡQuartus是Altera硬件描述语言的输入方式是利用类似高级程序的设计方法来设计出数字入等多种输入方式。

工具进行初步的学习。

使大家以后的数字系统设计更EDA系统。

接下来我们对这种智能的加容易上手。

第一步:

打开软件快捷工具栏菜单栏

资源管理窗口工作区

信息栏任务管理窗口

)等快捷方式,方便用户使用,用户也可,编译()快捷工具栏:

提供设置(compilesetting?

以在菜单栏的下拉菜单找到相应的选项。

菜单栏:

软件所有功能的控制选项都可以在其下拉菜单中找到。

?

信息栏:

编译或者综合整个过程的详细信息显示窗口,包括编译通过信息和报错信息。

?

1

file>newProjectWizard)第二步:

新建工程(所建工程的保存路径

工程名称:

1

顶层模块名(芯片级设计为实体名),要求工程名称与工程名称相同果有已存在

件就在该过程中添加,next添加已有文件(没有已有文件的直接跳过2件将直将用加的文添加程中

2

MAX3000A芯片)系列下的EPM3256AQC208-103选择芯片型号(我们选择注:

如果不下载到开发板上进行测试,这一步可以不用设置)(

芯片所选的的系列型号快速搜索所需的芯选择芯片

nextNonequartus4选择仿真,综合工具(第一次实验全部利用做,三项都选,然后)3

选择第三方综合工具,如果内部综合工具Quartus使用none

则选择选择第三方仿真工具,如果内部仿真工具使用Quartusnone

则选择选择时序分析仪

finish)5工程建立完成(点

该窗口显示所建立工程所工程建立完成工具选择情况的芯片,其他第三ED以及模块名等等信息。

4

file>new>VHDLfile,新建完成之后要先保存。

)第三步:

添加文件(

文本VerilogHDLFileVerilog我们选择设计文件格式既选择输入形式

第四步:

编写程序描述源文件如下:

以实现一个与门和或门为例,Verilog

moduletest(a,b,out1,out2);

inputa,b;

Outputout1,out2;

assignout1=a&b;

assignout2=a|b;

endmodule

然后保存源文件;

)startAnalysis&synthesis第五步:

检查语法(点击工具栏的这个按钮()5

该窗口显示了语法检查后的详细口资源的多io信息,包括所使用的语法检查成功,没有少等内容,相应的英文名大家可以级别以上的错误error自己查阅

点击确定完成语法检查

(pinplanner))第六步:

(锁定引脚,点击工具栏的)注:

如果不下载到开发板上进行测试,引脚可以不用分配(

顶层某块的输入输出口各个端口的输入输物理的芯片端口想对应

location双击为您的输入输出配置引脚。

6

startComplilation)()第七步:

整体编译(工具栏的按钮

该窗口给出综合后代码的资源使用情况既芯片型号等等信息。

选择为使用端口选项卡

进行功能仿真)第八步:

功能仿真(直接利用quratusAssignments>setting>SimulatorSettings>将仿真类型设置为功能仿真(1

)下拉>Function7

既不包括时序Functional表示功能仿真,表示时序仿真。

加入线及信息,timinng寄存器的延时信息建立一个波形文件:

2

(new>VectorWaveformFile)

添加波形文件作为信号输出件,以便观察信号的输出情况

8

点击):

然后导入引脚(双击Name下面空白区域>NodeFinder>list>

点击如下图添加双击弹出右信号边的对话框点击产生端口列表

)by1接下来设置激励信号(单击>选择>Timing>Multiplied

设置仿真的开始及结束时间

设置输入信号周

我们自定义的输入信

设置b信号源的时候类同设置a信号源,最后一步改Multipliedby2

9

processing>GenerateFunctional然后要先生成仿真需要的网表(工具栏)SimulationNetlist

开始仿真):

接下来开始仿真(仿真前要将波形文件保存,点击工具栏10

两个信号经过我们,ab由设计的模块产生的结果

观察波形,刚好符合我们的逻辑。

功能仿真通过。

配置下载电缆Hardware(Programmer),再点击Setup第九步:

下载(点击ByteBlasterMVor

”按钮,选择并口下载单击弹出窗口的“AddHardware器件生成的下载文件后ByteBlasterMVⅡ,单击“Close”按钮完成设置。

CPLD按钮开始start选中下载文件,然后直接点击,缀名为.pof点击下图所示方框,下载)

下载进度下载是该选必须打该开始下载

完!

11

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 小学教育 > 其它课程

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1