ImageVerifierCode 换一换
格式:DOC , 页数:5 ,大小:223KB ,
资源ID:2579722      下载积分:2 金币
快捷下载
登录下载
邮箱/手机:
温馨提示:
快捷下载时,用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)。 如填写123,账号就是123,密码也是123。
特别说明:
请自助下载,系统不会自动发送文件的哦; 如果您已付费,想二次下载,请登录后访问:我的下载记录
支付方式: 支付宝    微信支付   
验证码:   换一换

加入VIP,免费下载
 

温馨提示:由于个人手机设置不同,如果发现不能下载,请复制以下地址【https://www.bdocx.com/down/2579722.html】到电脑端继续下载(重复下载不扣费)。

已注册用户请登录:
账号:
密码:
验证码:   换一换
  忘记密码?
三方登录: 微信登录   QQ登录  

下载须知

1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。
2: 试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。
3: 文件的所有权益归上传用户所有。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 本站仅提供交流平台,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

版权提示 | 免责声明

本文(QuartusII操作入门全加器设计.doc)为本站会员(b****3)主动上传,冰豆网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知冰豆网(发送邮件至service@bdocx.com或直接QQ联系客服),我们立即给予删除!

QuartusII操作入门全加器设计.doc

1、实验1 QuartusII操作入门全加器设计友情提示:实验做完后请保存到您的U盘中,以便后续实验要用。另外,请保存实验报告中要用到的屏幕截图。11 实验目的熟悉使用QuartusII的基本操作方法,利用原理图输入设计方法设计1位全加器。12 实验内容1位全加器原理如图1(A)所示,图1(B)是其逻辑符号图。图1(A) 原理图 图1(B) 符号图按照QuartusII基于逻辑符号图的设计方法,完成图1(A)的输入、编译、波形仿真。13实验步骤:(1) 启动QuartusII,为本设计建立一个工程的框架。 在QuartusII中,任何一项设计任务都是一项工程(PROJECT)。点击“File /

2、New Project Wizard”,弹出如下图对话框。点击“Next” 接着,弹出如下图对话框。 在第一栏(工程的工作路径)中,输入一个路径及文件夹名。本工程产生的各种设计文件将存放在该文件夹下。注意,不要用中文作路径名和工程名。 在工程名称一栏中,输入工程名称。此处将其命名为Fulladd。 在工程的顶层设计名一栏中输入顶层设计名。注意,顶层设计名要与工程名相同。 点击“Finish”,完成建立工程的框架。如果你输入的工作路径不存在,将弹出对话框,询问是否创建此工作路径。点击“是”即可。(2)在工程中加入顶层设计文件。一个工程必须有一个顶层设计,在顶层设计中可以调用底层设计,从而形成一种

3、逐层调用的层次结构。点击“File/New”,弹出对话框。选择“Block Diagram/Schematic File”,点击“OK”,于是新建了一个图形文件,其临时名称为Block1.bdf。点击“File/Save as”,将其保存为“Fulladd.bdf”,如下图所示。“Fulladd.bdf”就是顶层设计文件。(3) 在“Fulladd.bdf”中绘制逻辑原理图。 放置元件以放置一个异或门为例,双击编辑区空白处,弹出如下对话框,在“Name”栏输入xor ,点击“OK”。 连接导线:直接拖动鼠标即可将需要连接的端点连接起来。按图1(A)原理图完成编辑,结果如下图。将其存盘。(4)编

4、译工程。点击对工程进行编译的快捷键“”,开始对工程进行编译。如果有错,则应根据错误报告的提示改正错误,再编译,直到无错。(5)仿真 建立仿真需要的波形文件。选择菜单“FILE/NEW”,弹出如下对话框。按图选择, 并点击“OK”。结果打开波形编辑窗。它对应于波形文件,将其存盘为“Fulladd.vwf”。注意,仿真波形文件的主名必须与工程名相同。 输入信号结点。 双击波形编辑区中的“N ame”栏下方的空白区,弹出对话框,再点击“Node Foild”,又弹出如下对话框,按图示操作。 点击“OK”,结果波形编辑窗中调入了全加器的所有节点信号,如下图。 设置波形参量 选“Edit/End tim

5、e”,设置仿真时间总长度为1us; 选“Edit/Grid size”,设置时间周期为50ns。(两条竖线站之间的时间) 单击ViewFit in Windows,以便在波形编辑窗观察全部仿真时间段。再按下图编辑Ai 、Bi和C的电平值。(图中,8种输入值有)编辑方法:要设定某输入在某时段的电平值,在此时段上压住鼠标拖动,选中此时段;再点击工具栏中的“1”或“0”按钮即可。将编辑好的波形文件存盘。 运行仿真器单击快捷键“”,即进入仿真运行。结果如下图。 观察分析波形检查全加器的时序波形是否正确。图中的竖标线是测试参考线,上方标出的350.0ns是此线所在的时间位置,此时3个输入分别为Ai=1、Bi=1、Ci=0,两个输出分别为Si=1、Ci1=0,这与全加器的逻辑运算关系相符。对所有时段进行检查,看是否与全加器的逻辑运算关系相符。如全部相符,就说明加法运算结果正确。1.4 实验报告实验报告应包含如下内容:1、 实验名称。(占1行)2、 实验者,实验地点、时间。(占1行)3、 实验用到的硬件(计算机:PC,操作系统:Windows XP)。主要软件:Quartus 7.04、 实验目的5、设计与仿真过程。6、实验结果及分析。7、列举在实验中遇到的问题及解决经过。

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1