ImageVerifierCode 换一换
格式:DOCX , 页数:21 ,大小:241.29KB ,
资源ID:25623701      下载积分:3 金币
快捷下载
登录下载
邮箱/手机:
温馨提示:
快捷下载时,用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)。 如填写123,账号就是123,密码也是123。
特别说明:
请自助下载,系统不会自动发送文件的哦; 如果您已付费,想二次下载,请登录后访问:我的下载记录
支付方式: 支付宝    微信支付   
验证码:   换一换

加入VIP,免费下载
 

温馨提示:由于个人手机设置不同,如果发现不能下载,请复制以下地址【https://www.bdocx.com/down/25623701.html】到电脑端继续下载(重复下载不扣费)。

已注册用户请登录:
账号:
密码:
验证码:   换一换
  忘记密码?
三方登录: 微信登录   QQ登录  

下载须知

1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。
2: 试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。
3: 文件的所有权益归上传用户所有。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 本站仅提供交流平台,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

版权提示 | 免责声明

本文(eda交通信号灯控制系统设计.docx)为本站会员(b****9)主动上传,冰豆网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知冰豆网(发送邮件至service@bdocx.com或直接QQ联系客服),我们立即给予删除!

eda交通信号灯控制系统设计.docx

1、eda交通信号灯控制系统设计河南机电高等专科学校VL程序设计结课大作业交通信号灯控制系统设计姓 名: 笑嘻嘻思想 专业班级:笑嘻嘻笑嘻嘻思想 学 号:笑嘻嘻笑嘻嘻思想 任课教师: 笑嘻嘻笑嘻嘻思想 时 间: 2011123 成 绩: 交通信号灯控制系统设计应电8级1班 靳晓龙 任课老师:石新峰摘要:伴随着社会的发展以及人类生活水平的提高,汽车的数量的增加。DEA技术的发展和应用领域的扩大与深入,EDA技术在电子信息,通信,自动,控制及计算机应用等领域的重要性日益突出。随着技术市场与人才市场对DE的不断的增加,交通的问题日益突出,单单依靠人力来指挥交通已经不可行了,所以,设计交通灯来完成这个需求

2、就显的越加迫切了.为了确保十字路口的行人和车辆顺利、畅通地通过,往往采用电子控制的交通信号来进行指挥。交通灯控制系统设计城市路口交通信号控制系统大体上分为三种类型:定周期的信号机、多时段且具有无电缆协调功能的微电脑型信号机以及联网式自适应多相位智能型信号机。具体采用哪种类型,应根据其应用场合及特点加以确定。其中,第一种类型以其成本低,设计简单,安装及维护方便等特点得到了广泛应用。以下就是运用数字电子设计出的交通灯:其中红灯亮,表示该条路禁止通行;黄灯亮表示停车;绿灯亮表示允许通行。交通灯控制器控制两个主干道交叉路口的交通,路口车辆多,直行信号、左转弯信号分开显示,两个主干道的通行时间相等,其中

3、指示直行的绿灯亮3 s,指示左转弯的绿灯亮1,绿灯变至红灯时,黄灯亮3 s,以便于车辆能停在停车线内,红灯信号的最后3 s相应的黄灯也同时亮,以便提示驾驶人员准备起步。在两个主干道路口都配备传感器用来检测有无车辆通行。当两个主干道都有车辆时,自动处于主干道a绿灯,主干道b红灯的状态,然后轮流切换通行。当主干道a无车辆时,自动处于主干道绿灯,主干道红灯的状态;反之亦然,以提高通行效率。关键词:交通灯控制系统 ;分频器;信号控制器 ;HDL语言 1 概述2 设计要求4 总体构思1 各单元电路的设计和实现5功能仿真及其结果 编译、下载及调试5 总结与展望30参考文献51概述随着社会经济的发展,城市交

4、通问题越来越引起人们的关注。人、车、路三者关系的协调,已成为交通管理部门需要解决的重要问题之一。城市交通控制系统是用于城市交通数据监测、交通信号灯控制与交通疏导的计算机综合管理系统,它是现代城市交通监控指挥系统中最重要的组成部分。随着城市机动车量的不断增加,许多大城市如北京、上海、南京等出现了交通超负荷运行的情况,因此,自80年代后期,这些城市纷纷修建城市高速道路,在高速道路建设完成的初期,它们也曾有效地改善了交通状况。然而,随着交通量的快速增长和缺乏对高速道路的系统研究和控制,高速道路没有充分发挥出预期的作用。而城市高速道路在构造上的特点,也决定了城市高速道路的交通状况必然受高速道路与普通道

5、路耦合处交通状况的制约。所以,如何采用合适的控制方法,最大限度利用好耗费巨资修建的城市高速道路,缓解主干道与匝道、城区同周边地区的交通拥堵状况,越来越成为交通运输管理和城市规划部门亟待解决的主要问题。 设计要求设计一个十字路口的交通灯控制系统,用实验平台上的LED发光二极管显示车辆通过的方向(东西和南北各一组),用数码管显示该方向的剩余时间。要求:工作顺序为东西方向红灯亮45秒,前0秒南北方向绿灯亮,后秒黄灯亮。然后南北方向红灯亮45秒,前40秒东西方向绿灯亮,后5秒黄灯亮。依次重复。有紧急事件时允许将某方向一直开绿灯或者开红灯,另外允许特定情况两方向均为红灯,车辆禁行,比如十字路口恶性交通事

6、故时,东西,南北两个方向均有两位数码管适时显示该方向亮灯时间。3 总体构思()该交通灯控制器应具备的功能设东西和南北方向的车流量大致相同,因此红、黄、绿灯的时长也相同,定为红灯45,黄灯5sec,绿灯0sec,同时用数码管指示当前状态(红、黄、绿)剩余时间。另外,设计一个紧急状态,当紧急状态出现时,两个方向都禁止通行,指示红灯。紧急状态解除后,重新计数并指示时间。(2) 实现方案 一从题目中计数值与交通灯的亮灭的关系如图(1)所示 各单元电路的设计和实现()分频器分频器实现的是将高频时钟信号转换成底频的时钟信号,用于触发控制器、计数器和扫描显示电路。该分频器实现的是一千分频,将一千赫兹的时钟信

7、号分频成一赫兹的时钟信号。 (2)控制器设计控制器的作用是根据计数器的计数值控制发光二极管的亮、灭,以及输出倒计时数值给七段数码管的分位译码电路。此外,当检测到特殊情况(OLD=1)发生时,无条件点亮红灯的二极管。本控制器可以有两种设计方法,一种是利用时钟烟的下降沿读取前级计数器的计数值,然后作出反应;另一种则是将本模块设计成纯组合逻辑电路,不需要时钟驱动。这两种方法各有所长,必须根据所用器件的特性进行选择:比如有些FPGA有丰富的寄存器资源,而且可用与组合逻辑的资源则相对较少,那么使用第一种方法会比较节省资源;而有些CPLD的组合逻辑资源则比较多,用第二种方法可能更好。()计数器设计这里需要

8、的计数器的计数范围为-0。计到90后,下一个时钟沿回复到,开始下一轮计数。此外,当检测到特殊情况(HOL1)发生是,计数器暂停计数,而系统复位信号RT则使计数器异步清零。()分位译码电路设计-1 因为控制器输出的到计时数值可能是1位或者2位十进制数,所以在七段数码管的译码电路前要加上分位电路(即将其分为个1位的十进制数,如分为2和,7分为0和7)。 与控制器一样,分位电路同样可以由时钟驱动,也可以设计成纯组合逻辑电路。控制器中,引入了寄存器。分位电路用组合逻辑电路实现。(5)分位译码电路设计2(6)数码管驱动设计 串行连接,即每个数码管对应的引脚都接在一起(如每个数码管的a引脚都接到一起,然后

9、再接到CLD/FPGA上的一个引脚上),通过控制公共端为高电平控制相应数码管的亮、灭(共阴极数码管的公共端为高电平时,LD不亮;共阳极的公共端为低电平时,L不亮)。 串行法的优点在于消耗的系统资源少,占用的I/口少,N个数码管只需要(+N)个引脚(如果需要小数点,则是(8+N)个引脚)。其缺点是控制起来不如并行法容易。(7)下图为交通灯控制系统硬件框图图4:交通灯控制系统硬件框图5 功能仿真及其结果根据交通灯控制器的功能与要求,将其总体电路分为分频器、信号控制器两个模块。外部脉冲振荡器的频率选为2 76 kH,经分频器分频得1 Hz的信号,1 信号用做信号控制器的计数脉冲,用VDL设计组成交通

10、灯控制器的分频器、信号控制器两个模块,在Qarus开发平台上,分别编译两个模块的VHDL程序,然后用原理图输入法形成图1所示的总体框图。其中,Sa,b分别是a,b路口传感器的信号,R,aY,a,aLR,aLY,aL分别代表控制主干道a的直行红灯、黄灯、绿灯,左转弯红灯、黄灯、绿灯的信号;R,bY,bG,LR,LY,bLG分别代表控制主干道b的直行红灯、黄灯、绿灯,左转弯红灯、黄灯、绿灯的信号;P,aP,bPR,bP是人行道信号,n是使能信号。enl=0时,b两路口红灯同时亮,便于处理特殊情况。用Qurtus对程序编译、仿真,得到的仿真波形如图2所示,经程序下载、实验验证,系统功能符合要求。6

11、编译、下载及调试(1)分频器的设计LIBRARY IEE;SEIEEt_ogi_114.AL;ENTITY FDeder ISPRT (lkin:INStd_Logic; Ckut:OU td_Lgic);ED;ACIECTREDevidrOFreDev ISCONTANT :neer:=499;sialountr:Inteer rang to N;iga Clk:Sd_Log;BEN PROCESS(lkin) egn IFrisnd(Clin)THE Iunte=N then ctr=0; lk=n lk; lse coute=cunter+; edif; end if; endprss;

12、 clkutclk;ed;(2)控制设计控制器的作用是根据计数器的计数值控制发光二极管的亮、灭,以及输出倒计时数值给七段译管的分译码电路。此外,当检测到特殊情况(ol=1)发生时,无条件点亮红色的发光二极管。LRRY IEE; USEIEEE.TD_LOC_1164.AL; ENTYountrolerS PRT (lock:IN STD_LGIC; Hod:in st_logic; CoutNum: ITEGER AG 0 O 9; umA,umB:uINTEGERAN 0 TO 45; edA,GreenA,ellowA:out std_; ReB,reeB,Yllo:out std_ic)

13、; END;ACHEURbehavior OF urollr S Nroces(Clck)EGINIF allig_edg(Clock)TNIF Hold1THN Red; e=1; GreenA=; renA=0; YellowA=; YellowB=;SF ontNum=3THEN NmA=40-CoutNum; eA=0;Gree=1;YelowA0; ELIF CotNum44 TN NuA=45-CountNum; RedA0; Gee=0;YelloA=;ELSE 9-CunNum;Rd1; re=0;Yelo=0;ENDIF;FCounN4 THEN NumB=4CutN; Re

14、d=1;GrenB0;elloB=0;SIFCountNum=84 HNNuB=85CnNum; Red=; GreB=1; YellowB=0;ELeumB90CountNum;RedB=0; Gren0;YelloB=1;EDIF;ENDF;END PROCESS;END;(3)计数器的设计这里计数器的计数范围为045S 。计到45后,下一个时钟沿回复到0,开始下一轮计数.此外,当检测到特殊情况(Hold)发生时,计数器暂停计数,而系统复位号et则使计数器异步清0。程序如下:LIBRAY IEEE; USEIEEE.S_LGC_1AL;ENTITY counter IS PORT (clc

15、k:N STDOGIC; est:i st_ogic; Hod:in t_lic; cotum:uFeRNTEGER RAGE T 9);END;ACIECTUehavior O counte IS BEGNproce(reet,Clock)BEINIF Rest=1HENcountum0;LSF ising_edge(Clock) THENF Hold=1thencuntNum=tNum;ELSIF contNum=0 THENcouNu=0;LEcotNum=0 THNumA=4;NumB=0 THENNum=20 THENNu=;u=Num-20;LSIF Nuin=1THENNmA1;

16、NB=Nmin-0;ELS NmA=0;NumB=Numin;EN IF;END PES;EN;()分位译码电路设计2USE IEE.STDLI164.AL;ENTITYFnwei2IPOT(umin:I neger AG 0 TO45;C,ND:OUT neger RANE0to );EN;ARCCR behior OF Fewei2 I Nprocss(Numin)BEINIF Numn0 THENNC=4;NumD=30 THENNmC3;NumD=THENNumC=2;ND=10TENum1;N=Numn1;ELE Num0;NumDNn;ED IF;ND ROS;EN;(6)数码管驱

17、动设计LBRARY IEEE; USE IEEE.STD_LOGC_.AL; ENTITY bcd_data ISPO(bcd_a:inD_OGC_VETOR(3 wno );eout: otST_LGCVCOR(6 wto0);EN;ARCHITCTURE behavior O bcd_dataIS EINproes(bcddta)BEGINcasbcta s when 0000=segoutseoutseotegusegut=10011; whe 001segut=10101 ; whn 0110=egoutut=110000 ;hn 100 =sgtsgoutnull;D CAS;ENP

18、RCE;END;LBRY EEE;UE IEE.SD_LOG_1164.AL;E IEE.TD_LOI_nsgd.LL; NT dts ISP(c:nSTGC;NumA,NB,um,NuD: in S_LOGIC_VECTR(3 dnto0);segot:tSTDLOIC_ETOR(6 dwnto );ledse: ou STD_LOIC_VECTOR(3 downto 0));E dsm;rchtecebh f dtsm iscompoentbcd_datai port (cd_data:n SD_LOGIC_VCOR(3 downto 0); segot:out ST_OIC_ECTOR(

19、dwnto);en component; sigax:STLOGIC_VECTR(3 dowto0); sgl q:STLOGCVCR( dowo );beginp1:procss(cl)begnif clevent and clk =1 then Q= Q + 1;end i;end proce;p2:procss(Q) bgin case Qswhen00=ledslld_sel=1101;xledsel=1011;xle_se=011;xull;endcase;end proess;u1:bcd_ata PRT ap(cd_daax,segot=egout1);end (7)软件工作流程

20、图7 总结与展望ED设计我感觉程序调试最重要,试验软件、硬件熟悉其次。我在编完各模块程序之后,编译查错最初有三十几个错误,有输入错误、语法错误。一遍一遍的变异查错,直到没有错误。必须注意工程名和实体名一致,不然一般会出错。在没有错误之后可以进行波型仿真。若与理想的不同,再查看程序,有无原理上的编辑错误或没有查出的输入错误。都通过可以进行管脚配对,把程序烧入芯片,在实物机上看结果,从显示中得出还需改正的地方,再去改程序。伴随着社会的发展以及人类生活水平的提高,汽车的数量的增加。DE技术的发展和应用领域的扩大与深入,EA技术在电子信息,通信,自动,控制及计算机应用等领域的重要性日益突出。随着技术市场与人才市场对DE的不断的增加,交通的问题日益突出,单单依靠人力来指挥交通已经不可行了,所以,设计交通灯来完成这个需求就显的越加迫切了.为了确保十字路口的行人和车辆顺利、畅通地通过,往往采用电子控制的交通信号来进行指挥。参考文献(1)潘松,黄继业.200.DA技术使用教程.北京:科学出版社。 (2)黄任;05;VHDL入门.解惑经典实例.经验总结.北京:北京航空航天大学出版社。 (3)徐志军,徐光辉202.PLD/FPG的开发与应用北京:电子工业出版社。 (4)褚振勇FGA设计与应用.西安:西安电子科技大学出版社。

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1