ImageVerifierCode 换一换
格式:DOCX , 页数:14 ,大小:447.26KB ,
资源ID:23485764      下载积分:3 金币
快捷下载
登录下载
邮箱/手机:
温馨提示:
快捷下载时,用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)。 如填写123,账号就是123,密码也是123。
特别说明:
请自助下载,系统不会自动发送文件的哦; 如果您已付费,想二次下载,请登录后访问:我的下载记录
支付方式: 支付宝    微信支付   
验证码:   换一换

加入VIP,免费下载
 

温馨提示:由于个人手机设置不同,如果发现不能下载,请复制以下地址【https://www.bdocx.com/down/23485764.html】到电脑端继续下载(重复下载不扣费)。

已注册用户请登录:
账号:
密码:
验证码:   换一换
  忘记密码?
三方登录: 微信登录   QQ登录  

下载须知

1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。
2: 试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。
3: 文件的所有权益归上传用户所有。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 本站仅提供交流平台,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

版权提示 | 免责声明

本文(Quartus Ⅱ运用.docx)为本站会员(b****7)主动上传,冰豆网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知冰豆网(发送邮件至service@bdocx.com或直接QQ联系客服),我们立即给予删除!

Quartus Ⅱ运用.docx

1、Quartus 运用实验一 Quartus 运用一、实验目的学习EDA工具软件的使用。二、实验内容利用Quartus设计数字系统之前,应该先建立一个文件夹,此文件夹可作为Quartus默认的工作库。Quartus中任何一项设计都是一项工程,在工程设计过程中,会产生许多仅扩展名不同的同名文件,放在同一个文件夹下,便于统一管理。出于同样的原因,不同的设计项目最好放在不同的文件夹中。在本例中,建立文件夹D:Designs作为工作库,以便将设计过程中的相关文件存储于此。1 编辑文件(1)启动Quartus双击桌面上的Quartus图标或单击开始按扭,启动Quartus。其初始界面如图1所示。图1 初始

2、界面(2)编辑文件单击标题栏中的FileNew对话框,如图2所示。图2 输入选择对话框单击New对话框的Device Design Files选项卡,选择编译文件的语言类型。这里选择VHDL Files,选好后单击【OK】按钮,打开VHDL文本编辑器窗口,并在其中输入图3所示的程序,这是一个与门的VHDL程序。图3 文本编辑器输入完成之后,单击FileSave As选项,将编辑的文本文件存在已建立的文件夹d:Designs下,存盘文件名应该与VHDL程序的实体名一致,即ex1_v.vhd。当出现问句Do you want to create时,可选“否”。2创建工程(1)打开建立新工程向导单击

3、FileNew Project Wizard菜单,出现新建工程向导对话框,如图4所示。图4 新建工程向导在图4中,单击【Next】按钮,出现如图5所示的工程基本设置对话框。图5 工程基本设置在最上面的输入框中输入工作库文件夹的地址,本例的地址是D:Designs,或者单击该对话框最上一栏右侧的【】按钮,出现如图6所示的对话框。如果所见与图6不同,可单击查找范围输入框旁的下三角按钮,在下拉框中选定D: Designs文件夹后,单击【打开】按钮,返回图5。(2) 将设计文件加入工程中单击图7中的【Next】按钮,在弹出的对话框中,将与本工程有关的文件加入,有两种方法:一种是单击右边的【Add Al

4、l】按钮,将设定工程目录中的所有VHDL文件加入到工程文件栏;另一种是单击【Add 】按钮,从工程目录中选出相关的VHDL文件。完成后,如图8所示。图6 选择文件夹目录图5中间的输入框要求输入该工程的名称,一般可以用顶层文件的名称作为工程名称,本例的顶层文件名是ex1_v。最下面的输入框要求输入顶层设计文件实体的名称,本例顶层文件的实体名称是ex1_v。完成后,如图7所示。图7 新建工程基本情况图8 加入设计文件(3) 选择仿真器和综合器类型单击图8中的【Next】按钮,这时弹出选择仿真器和综合器类型的窗口。如果选择默认的NONE,表示使用Quartus中自带的仿真器和综合器。在本例中都选默认

5、的NONE选项。如图9所示。图9 EDA工具设置(4) 选择目标芯片单击图9中的【Next】按钮,根据系统设计的实际需要选择目标芯片。首先在Family栏选择芯片系列,本例选择ACEX20KE系列。在此栏下方,询问选择目标器件的方式,选No,表示允许编程器自动选择该系列中的一个器件;单击Yes选项,表示手动选择。如图10所示。图10 器件模式本例采用手动选择,单击图10中的【Next】按钮,选择此系列的具体芯片:EP20K30ETC144-1,如图11所示。图11 目标器件选择单击【Next】按钮后,弹出工程设置统计窗口,如图12所示。图12 摘要(5) 结束设置最后单击图12中的【Finis

6、h】按钮,结束设置。在Quartus主窗口的左侧,如图13所示。图13 工程管理窗口该图是工程ex1_v 的工程管理窗口(或称Compilation Hierarchy窗口),主要显示本工程项目的层次结构和各层次的实体名。3目标芯片的配置(1) 选择目标芯片单击标题栏中的AssignmentsSettings菜单,在弹出的对话框中选Category下的Device选项,然后在右侧选择目标芯片EP20K30ETC144-1(此芯片已在建立工程时选定了)。也可在Available devices栏分别单击Package(封装形式)、Pin count(管脚数量)和Speed(速度)来选定芯片。如图

7、14所示。图14 选择器件对话框(2) 选择目标器件编程配置方式单击图14中的【Device & Pin Options】(本例中字母D被挡住了)按钮进入器件与管脚操作对话框,首先选择Configuration项,在此框的下方有相应的说明,在此可选Configuration方式为Passive Serial,这种方式可以直接由PC机配置,也可由专用配置器件进行配置。使用的配置器件选Auto(系统自动配置),如图15所示。图15 配置选项卡(3) 选择输出配置单击图15中的Programming Files选项卡,可以选Hexadecimal,即产生下载文件的同时,产生十六进制配置文件,Star

8、t(起始地址)设为0,Count(计数)设为Up(递增方式)。如图16所示。此文件可用于单片机与EPROM构成的FPGA配置电路系统。图16 程序文件选项卡(4) 选择目标器件闲置管脚的状态单击图16中的Unused Pins选项卡,可根据实际需要选择目标器件空闲管脚的状态,有三种状态可供选择:作为输入并呈高阻状态、作为输出并呈低电平状态、作为输出并呈不确定状态。也可以对空闲管脚不作任何选择,而由编程器自动配置。如图17所示。图17 空闲管脚设置选项卡4编译(1)编译单击标题栏中的ProcessingStart Compilation选项,启动全程编译。编译包括对设计输入的多项处理操作,其中包

9、括排错、数据网表文件提取、逻辑综合、适配、装配文件(仿真文件与编程配置文件)生成,以及基于目标器件的工程时序分析等。如果工程文件中有错误,在下方的信息栏中会显示出来。可双击此条提示信息,在闪动的光标处(或附近)仔细查找,改正后存盘,再次进行编译,直到没有错误为止。编译成功的标志是所有进程都完成,如图18所示。图18 编译进程信息(2) 阅读编译报告编译成功后可以看到编译报告,如图19所示。左边栏目是编译处理信息目录,右边是编译报告。这些信息也可以在Processing菜单下的Compilation Report处见到。图19 编译报告5仿真仿真就是对设计项目进行一项全面彻底的测试,以确保设计项

10、目的功能和时序特性符合设计要求,保证最后的硬件器件的功能与原设计相吻合。仿真可分为功能仿真和时序仿真。功能仿真只测试设计项目的逻辑行为,而时序仿真不但测试逻辑行为,还测试器件在最差条件下的工作情况。(1) 建立波形文件与MAX+plus仿真操作相同,仿真前必须建立波形文件。单击FileNew选项,打开文件选择窗口。然后单击Other Files选项卡,选择其中的Vector Waveform File选项。如图20所示。图20 新文件选择(2) 打开波形编辑器单击图20中的【OK】按钮,即出现空白的波形编辑器,如图21所示。图21 波形编辑器为了使仿真时间设置在一个合理的时间区域上,单击Edi

11、tEnd Time选项,在弹出窗口中的Time输入框键入50,单位选us,即整个仿真域的时间设定为50微秒,如图22所示。图22 仿真时间设置单击【OK】按钮。结束设置后,要将波形文件存盘。单击FileSave as选项,将波形文件以文件名ex1_v.vwf(默认名)存入文件夹D:Designs中。(3) 输入信号节点单击ViewUtility WindowsNode Finder选项,会打开一个对话框。在该对话框的Filter空白栏中选Pins:all,然后点击【list】按钮。在下方的Nodes Found窗口中会出现了设计工程的所有端口管脚名,如图23所示。图23 管脚编辑用鼠标将输入端

12、口节点A、B和输出信号节点C逐个拖到波形编辑窗口,如图24所示。图24 波形编辑单击图23中的关闭按钮,关闭Node Finder窗口。(4) 编辑输入波形波形编辑器的按钮操作方法与MAX+plus相同。利用这些按钮,分别给输入管脚编辑波形,如图25所示。图25 已编辑输入波形(5) 启动仿真及阅读仿真报告单击标题栏中的ProcessingStart Simulation选项,即可启动仿真器。如图26所示。图26 仿真结果从图26中可以看出,本次设计与门的输出有着明显的延时。单击左侧的栏目,能够打开仿真报告。三、实验报告要求 根据实验内容,熟悉Quartus II软件的使用,将实验心得写进实验报告,并附上仿真器中所得到的A,B,C的波形图。

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1