ImageVerifierCode 换一换
格式:DOCX , 页数:7 ,大小:130.23KB ,
资源ID:23418736      下载积分:3 金币
快捷下载
登录下载
邮箱/手机:
温馨提示:
快捷下载时,用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)。 如填写123,账号就是123,密码也是123。
特别说明:
请自助下载,系统不会自动发送文件的哦; 如果您已付费,想二次下载,请登录后访问:我的下载记录
支付方式: 支付宝    微信支付   
验证码:   换一换

加入VIP,免费下载
 

温馨提示:由于个人手机设置不同,如果发现不能下载,请复制以下地址【https://www.bdocx.com/down/23418736.html】到电脑端继续下载(重复下载不扣费)。

已注册用户请登录:
账号:
密码:
验证码:   换一换
  忘记密码?
三方登录: 微信登录   QQ登录  

下载须知

1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。
2: 试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。
3: 文件的所有权益归上传用户所有。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 本站仅提供交流平台,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

版权提示 | 免责声明

本文(基于可编程逻辑器件的四位奇偶校验器设计.docx)为本站会员(b****9)主动上传,冰豆网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知冰豆网(发送邮件至service@bdocx.com或直接QQ联系客服),我们立即给予删除!

基于可编程逻辑器件的四位奇偶校验器设计.docx

1、基于可编程逻辑器件的四位奇偶校验器设计新疆大学课程设计题 目:基于可编程逻辑器件的四位奇偶校验器设计指导老师: 学生姓名: 所属院系: 电气工程学院专 业: 班 级: 学 号: 本科生课程设计任务书班 级: 姓 名: 设计题目:基于可编程逻辑器件的四位奇偶校验器设计 要求完成的内容:1.设计出一个奇偶校验逻辑电路,当四位数中有奇数个1时输出结果为1;否则为0。 2写出该电路的真值表。 3采用逻辑门电路或可编程逻辑阵列PLA实现。 4画出详细的电路图。 5写出详细的原理说明。 指导教师: 教研室主任: 一、概述奇偶校验是一种荣誉编码校验,在存储器中是按存储单元为单位进行的,是依靠硬件实现的,因而

2、适时性强,但这种校验方法只能发现奇数个错,如果数据发生偶数位个错,由于不影响码子的奇偶性质,因而不能发现。奇偶校验是一种校验代码传输正确性的方法。根据被传输的一组二进制代码的数位中“1”的个数是奇数或偶数来进行校验。采用奇数的称为奇校验,反之,称为偶校验。采用何种校验是事先规定好的。通常专门设置一个奇偶校验位,用它使这组代码中“1”的个数为奇数或偶数。若用奇校验,则当接收端收到这组代码时,校验“1”的个数是否为奇数,从而确定传输代码的正确性。 二、写出详细的原理说明奇偶校验法是对数据传输正确性的一种校验方法。我们所涉及的奇偶校验逻辑电路是用来表示传输的数据中1的个数是奇数还是偶数,为奇数时,校

3、验位置为1,否则置为0。例如,需要传输1101,数据中含3个1,所以其奇校验位为1,需要传输1111,数据中含4个1,所以其偶校验位为0。上面设计的奇偶校验逻辑电路就是属于单向奇偶校验逻辑电路,当我们输入一个四位数1000时A,B端所流的直流通过U1A异或门后输出为高电平,而C,D端所流的直流通过U2A异或门后输出为低电平,它们个别通过U6A和U7A非门后输出的是低电平,高电平。最后通过与非门时输出为高电平,灯亮。当我们输入1100时A,B端所流的直流通过U1A异或门后输出为低电平,而C,D端所流的直流通过U2A异或门后输出为低电平,流过U6A和U7A非门后输出的是高电平,高电平。通过与非门时

4、输出为低电平,灯灭。其他14个四位数的原理都跟这上面的原理类似。三、写出该电路的真值表: 输入 输出A B C D Y 0 0 0 0 0 0 0 0 1 1 0 0 1 0 1 0 0 1 1 0 0 1 0 0 1 0 1 0 1 0 0 1 1 0 0 0 1 1 1 1 1 0 0 0 1 1 0 0 1 0 1 0 1 0 0 1 0 1 1 1 1 1 0 0 0 1 1 0 1 1 1 1 1 0 1 1 1 1 1 0备注:( A, B, C, D 分别为校验器的四个输入端,Y时校验器的输出端)画出该电路的卡诺图:写出该电路的表达式:四、采用逻辑门电路或可编程逻辑阵列PLA实现

5、五、画出详细的电路图当四位数中有奇数个1时的电路图(输出结果为1,灯亮):当四位数中有偶数个1时的电路图(输出结果为0,灯灭):六、总结与体会为了系统的可靠性,对于位数较少,电路较简单的应用,可以采用奇偶校验的方法。奇校验是通过增加一位校验位的逻辑取值,在源端将原数据代码中为1的位数形成奇数,然后在宿端使用该代码时,连同校验位一起检查为1的位数是否是奇数,做出进一步操作的决定。奇偶校验只能检查一位错误,且没有纠错的能力。偶校验道理与奇校验相同,只是将校验位连同原数据代码中为1的位数形成偶数。奇偶校验器多设计成九位二进制数,以适应一个字节,一个ASCII代码的应用要求。在这次设计的过程中我们也遇

6、到了很多困难,难免会遇到过各种各样的问题,同时在设计的过程中发现了自己的不足之处,对以前所学过的知识理解得不够深刻,掌握得不够牢固,比如说在设计奇偶校验逻辑电路的时候,该用哪个芯片,怎么使用,如何来设计电路图都把我们难道了,最后还是认真的看书和讨论才明白了解决这些问题的思路。光设计电路图然后输出结果上花了整整的两天时间。通过这次课程设计还锻炼了我们的团队合作精神,只有大家在分工明确的基础上齐心协力,才能是团队获得成就。通过这次课程设计使我懂得了理论与实际相结合是很重要的,只有理论知识是远远不够的,只有把所学的理论知识与实践相结合起来,从理论中得出结论,才能真正为社会服务,从而提高自己的实际动手能力和独立思考的能力。附:主要参考书目:电子基础技术网络资料电子基础技术实验指导书指导教师评语:要求:1、封面页、任务书页和指导教师评语页采用给定的模版。封面页、任务书页分别为第一、第二页,指导教师评语页为最后一页。2、论文内容采用小四号字打印。asanjian0531 . .

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1