ImageVerifierCode 换一换
格式:DOCX , 页数:11 ,大小:219.16KB ,
资源ID:22428593      下载积分:3 金币
快捷下载
登录下载
邮箱/手机:
温馨提示:
快捷下载时,用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)。 如填写123,账号就是123,密码也是123。
特别说明:
请自助下载,系统不会自动发送文件的哦; 如果您已付费,想二次下载,请登录后访问:我的下载记录
支付方式: 支付宝    微信支付   
验证码:   换一换

加入VIP,免费下载
 

温馨提示:由于个人手机设置不同,如果发现不能下载,请复制以下地址【https://www.bdocx.com/down/22428593.html】到电脑端继续下载(重复下载不扣费)。

已注册用户请登录:
账号:
密码:
验证码:   换一换
  忘记密码?
三方登录: 微信登录   QQ登录  

下载须知

1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。
2: 试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。
3: 文件的所有权益归上传用户所有。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 本站仅提供交流平台,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

版权提示 | 免责声明

本文(EDA课程设计多路彩灯控制器Word文档下载推荐.docx)为本站会员(b****8)主动上传,冰豆网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知冰豆网(发送邮件至service@bdocx.com或直接QQ联系客服),我们立即给予删除!

EDA课程设计多路彩灯控制器Word文档下载推荐.docx

1、学 号:指导教师:成 绩:电子与信息工程学院信息与通信工程系目录目录 II摘 要 II关键字 II1 引言 11.1 课程设计的目的和意义 11.2 课程设计内容及要求 12 设计方案 13 模块设计 23.1 时序控制模块 23.2 显示控制电路 33.3 总体模块框图 34 模块程序 44.1 时序控制电路模块程序 44.2 显示模块电路程序 54.3 顶层模块设计程序 65 仿真波形 65.1 时序控制模块仿真波形 65.2 显示模块仿真波形 76 试验总结 77 心得体会 88 参考文献 8多路彩灯控制器摘 要随着EDA技术发展和应用领域的扩大与深入,EDA技术在电子信息、通讯、自动控

2、制及就算几应用等领域的重要性突出,随着技术市场与人才市场对EDA的需求不断提高,产品的市场需求和市场的要求也必然会反映到教学领域和科研领域中来,因此学好EDA技术对我们有很大的一处,EDA是指以计算机为工具,在EDA软件平台上,根据设计描述的源文件,自动完成系统的设计,包括编译、仿真、优化、综合、适配以及下载。多路彩灯控制器通过对应的开关按钮,能够控制多个彩灯的输出状态,组合多种变幻的灯光闪烁,它被广泛应用到节目庆典、剧场灯光,橱窗装饰中。关键字:EDA;多路彩灯;VHDL1 引言1.1 课程设计的目的和意义巩固所学的专业技术知识,培养学生综合运用所学知识与生产实践经验,分析和解决工程技术问题

3、的能力,培养初步的独立设计能力;通过课程设计实践,了解并掌握一般的综合设计过程,训练并提高学生在理论计算、结构设计、工程绘图、查阅设计资料、运用标准与规范和应用计算机等方面的能力,更好地将理论与实践相结合,提高综合运用所学理论知识独立分析和解决问题的能力。再设计完成后,还要将设计的电路进行安装、调试,加强我们的动手能力。在此过程中培养从事设计工作的整体观念。通过课程设计学习掌握适用EDA软件QUARTUS,电路描述,综合,模拟仿真过程。同时掌握EDA的VHDL语言。1.2 课程设计内容及要求设计一个多路彩灯控制器,能够在6种不同的彩灯花型之间进行循环变化,并可设置花型变化的节奏,且可进行复位。

4、要求给出系统总体组成框图,设计思路,完成以上模块的VHDL实现及功能仿真,顶层文件及整体仿真。2 设计方案整个系统有三个输入信号,分别为由系统晶振产生的时钟信号CLK脉冲,控制快慢的信号C,复位清零信号RESET,输出信号是8路彩灯输出状态。系统框图如图1-1:图1-1 主要模块有时序控制电路模块和显示电路模块,时序控制电路实现的功能是产生和的时钟信号,原理是根据输入信号的设置得到相应的输出信号,并将此信号作为显示电路的时钟信号;显示电路输入时钟信号的周期,有规律的输出设定的六种彩灯变化类型。3 模块设计3.1 时序控制模块 时序控制模块是本程序的时钟信号选择模块,它的功能是产生输入脉冲的分频

5、脉冲信号和分频脉冲信号,以此控制八路彩灯的快慢节奏变化。时序控制模块在本电路中起着至关重要的作用,它以彩灯闪动快慢节奏的变化实现了多路彩灯绚丽多彩的花型节奏变化。时序控制电路的模块框图如图1-2所示,CLK为输入时钟信号,电路在时钟上升沿变化;RESET为复位清零信号,高电平有效,一旦有效时,电路无条件的回到初始状态;C为频率快慢选择信号,低电平节奏快,高电平节奏慢;CQ为输出信号,RESET有效时输出为零,否则,随C信号的变化而改变。图1-2 时序控制电路模块框图我们假设时序控制电路所产生的控制时钟信号的快慢两种节奏分别为输入时钟信号频率的1/4和1/8,因而输出时钟控制信号可以通过对输入时

6、钟的计数来获得。当C为低电平时,输出没经过两个时钟周期进行翻转,实现四分频的快节奏;当C为高电平时,输出每经过四个时钟周期进行翻转,实现八分频的慢节奏。3.2 显示控制电路显示控制电路的模块框图如图1-3所示,输入信号clk和clr的定义与时序控制电路一样,输入信号led7.0能够循环输出8路彩灯6种不同状态的花型。图1-3 显示控制电路模块框图多路彩灯在多种花型之间的转换可以通过状态机实现,当复位信号clr有效时,彩灯恢复初始状态s0,否则,每个时钟周期,状态都将向下一个状态发生改变,并对应输出的花型,这里的时钟周期即时时序控制电路模块产生的输出信号,它根据control信号的不同取值得到两

7、种快慢不同的时钟频率。3.3 总体模块框图总体模块框图如1-4图1-4 总体模块框图4 模块程序4.1 时序控制电路模块程序4.2 显示模块电路程序4.3 顶层模块设计程序5 仿真波形5.1 时序控制模块仿真波形从图中可以看出,当复位信号为高电平时,电路时钟输出清零,当快慢信号c为低电平时,时序控制电路四分频起作用,当快慢信号c为高电平时,时序控制电路八分频起作用,仿真结果符合电路要求。5.2 显示模块仿真波形当复位信号有效时彩灯输出为零,否则,显示电路在六种不同状态间转换。6 试验总结用VHDL进行设计,首先应该理解 ,VHDL语言是一种全方位硬件描述语言,包括系统行为级,寄存器传输级和逻辑

8、门级多个设计层次。应充分利用VHDH“自顶向下”的设计优点以及层次化的设计概念,层次概念对于设计复杂的数字系统是非常有用的。它使的我们可以从简单的单元入手,逐渐构成庞大而复杂的系统。通过使用EDA编程既方便又快捷的实现了程序本次设计的程序已经在硬件系统上得到了验证,实验表明,此设计方法能够满足多种不同花样彩灯的变化要求。并且该方法便于扩展不同变化模式的彩灯花样。7 心得体会经过将近一周时间的自我学习做设计,课程设计过程中我不仅检验了我以往所学习的理论知识,而且还丰富了课外知识学到了许多在课上没有学过的东西,更重要是经验。这份宝贵的经验为以后我们步入社会也奠定了基础。通过这次设计,我的多方面都有所提高,体会到了自己单独做设计时的能力不足,以及综合运用知识的能力,体会了学以致用、突出自己劳动成果的喜悦心情,从中发现自己平时学习的不足和薄弱环节,从而加以弥补,还有再此期间我通过查阅资料上网搜索有学习到了许多课上学不到的东西,当然对学过的知识也是一种巩固,比如我对VHDL语言掌握更加娴熟。由于本人的设计能力有限,在设计过程中难免出现错误,恳请老师们多多指教,我十分乐意接受老师的批评与指正,本人将万分感谢。8 参考文献1 潘松,黄继业等.EDA技术与VHDL.清华大学出版社,2009

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1